• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,096)
  • 리포트(2,899)
  • 자기소개서(149)
  • 시험자료(24)
  • 방송통신대(9)
  • 논문(7)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)

"논리회로및실험" 검색결과 1-20 / 3,096건

  • 논리회로및실험 레포트
    논리회로및실험 예비레포트20000001 임0000000000학부1. 내용:(1) NAND 게이트 Hyperlink "http://terms.naver.com/entry.nhn? ... http://sunrint10103.tistory.com/entry/XOR-%EA%B2%8C%EC%9D%B4%ED%8A%B8-XNOR-%EA%B2%8C%EC%9D%B4%ED%8A%B8논리회로및실험 ... docId=2835921&ref=y" AND 게이트와 반대로 부정 논리곱을 구현한 디지털 논리 회로의 일종으로, [그림]의 표준 논리 기호에 나타난 것처럼 두 개 이상의 입력과 하나의
    리포트 | 4페이지 | 1,000원 | 등록일 2024.07.14 | 수정일 2024.07.20
  • 논리회로및실험 레포트
    논리회로및실험 결과레포트20000001 임0000000000학부1. ... 고찰:논리 회로를 제대로, 다양한 역할을 가진 상태로 수행하도록 하려면 정말 많은 장비와 기술들이 필요하다는 것을 알게되었다. ... 따라서 RS232 포트 를 통한 통신 실험이 아닌 USB 포트를 이용한 시리얼 통신 실험을 할 수 있는 블록입니다.
    리포트 | 15페이지 | 1,000원 | 등록일 2024.07.14
  • 논리회로및실험 레포트
    논리회로및실험 결과레포트20000001 임0000000000학부1. 실습목표: 프로젝트 디렉토리를 만든다. 코드를 이해하고 설명할 수 있다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2024.07.14
  • 논리회로및실험 레포트
    논리회로및실험 예비레포트20000001 임0000000000학부목표: - AND,OR,XOR Gate를 이해하고 안다.Verilog HDL 문법을 이해한다.내용 :AND 게이트두 개 ... Verilog-HDL-%EB%AC%B8%EB%B2%95" http://skbdlee.tistory.com/entry/2-Verilog-HDL-문법 [just enjoy everything]논리회로및실험 ... docId=1077543&ref=y" 논리합(logical sum)을 구현한 것이다. 게이트의 입력을A, B,출력을C라 하면 의 논리식을 구현한 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.14 | 수정일 2024.07.20
  • 실험3 순차논리회로기초 - 교류및전자회로실험
    실험 3(예비보고서)순차 논리 회로 기초교류및전자회로실험 | 2020.10.02개요디지털 논리회로 교과에서 학습한 순차 논리 회로의 동작을 아두이노를 이용해 되풀 이해보고, 패키지 ... 래치는 입력되는 순간 바로 반영하기 때문에 플립플롭처럼 엣지의 시점을 결정하는 논리회로가 없어도 되므로 래 치의 논리회로가 간단하다.D 플립플롭D 플립플롭은 광범위하게 사용한다. ... 조합논리회로에 비해 플립플롭은 이전 상태를 계속 유지하여 저장한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.20
  • 교류및전자회로실험 실험3_순차 논리 회로 기초 결과보고서
    교류및전자회로실험결과레포트담당교수:학과:학번:이름:목차실험 명2실험 개요2실험 결과2결과 보고서6실험 고찰12실험실험 3. 순차 논리 회로 기초2. ... 실험 개요디지털 논리회로 교과에서 학습한 순차 논리 회로의 동작을 아두이노를 이용해 되풀이해 보고, 패키지 소자들을 이용해 하드웨어 수작업으로 구현했던 과거 회로와 비교하여 어떤 부분이 ... 결과보고서- 실험 결과(1) 실험에서 작성한 각 프로그램의 작성, 실행 및 디버깅 과정을 순서대로 자세히 기술한다.- 소프트웨어를 이용한 D 플립플롭우선 스위치가 제대로 입력되고 있는지
    리포트 | 9페이지 | 1,000원 | 등록일 2024.08.17
  • 교류및전자회로실험 실험3 순차 논리 회로 기초 예비보고서
    순차 논리 회로 기초실험 개요디지털 논리회로 교과에서 학습한 순차 논리 회로의 동작을 아두이노를 이용해 되풀이해 보고, 패키지 소자들을 이용해 하드웨어 수작업으로 구현했던 과거 회로와 ... 교류및전자회로실험예비레포트담당교수:학과:학번:이름:목차실험 명2실험 개요2이론 조사2실험 기기3예비보고서 문제풀이4실험 순서6참고 문헌8실험실험 3. ... 순서 논리회로는 출력을 입력쪽에 연결한 궤환(feedback) 회로를 가지고 있으며, 이를 통해 출력이 논리 동작에 영향을 미친다.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.06.22
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... Sources & Results① Test 1 - 기초 조합논리회로1) VHDL sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity test1 ... complement의 표현범위는 -8부터 +7까지이며0은 +부호를, 1은 -부호를 나타낸다.2) Full adder전가산기(Full adder)는 기본적으로 1비트의 2진수 3개를 더하는 논리회로이며
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 서강대학교 디지털논리회로실험 5주차 - 비교 및 연산 회로
    실험 목적Exclusive-OR회로를 이용한 비교/연산회로의 구현 및 동작원리를 이해하고, 기본 논리 gates를 이용한 half-adder 및 fill-adder의 구현 및 동작원리를 ... 그리고 연산회로의 동작 및 signed/unsigned numbers의 연산 원리에 대해 이해한다.2. ... 이번 실험에서 사용하는 ’COMPM4’가 이에 해당한다.
    리포트 | 23페이지 | 1,500원 | 등록일 2024.08.17
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 4개의 입력값 중에 1이 단 1개만 있어야하는 반면에 멀티플렉서는 ... 경우엔 에, , 일 경우엔 에, , 일 경우엔 에 값 0이 나올 것이다.이 1, I가 1일 경우엔 EN이 0이므로 회로가 작동하지않아 모든 결과값이 1이 나올 것이다.2.3 응용실험 ... I= 로 했을 때, 74139는 EN이 1이 입력되어야 회로가 정상작동하기에 , I가 0일 경우에 S에 따른 번호의 출력값에서 입력값 이 출력 될 것이다., 일 경우엔 에, , 일
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 기초전자회로실험 예비보고서 - 논리회로의 기초 및 응용
    논리게이트마다 논리회로 기호, 논리식, 진리표가 있으며, 이는 디지털 회로를 설계하는데 이용된다.1) BUF: 입력 값 그대로 출력 Y=A2) NOT: 입력 값 반대로 출력 Y ... 논리게이트: 디지털 회로논리연산을 수행하는 디지털 소자로서 일반적으로 하나 이상의 입력 단자와 하나의 출력 단자로 구성되며 기본 게이트로 AND, OR, NOT, NAND, NOR
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 기초전자회로실험1 4주차 결과레포트 논리회로의 기초 및 응용
    논리회로의 기초 및 응용 실험 목표 - AND, OR, NOT, NAND 및 NOR 논리게이트 동작을 이해할 수 있다 . - 범용 게이트를 이용하여 기본 논리게이트를 구성할 수 있다 ... Boolean 대수 : 구성된 논리회로를 간략화한 형태로 변경시킬 수 있는 법칙 - 논리 회로에 대한 식이 주어지면 그 식을 구성하는 항과 변수의 수를 최소화함 으로써 논리 회로를 ... Boolean 대수와 논리식 간략화 실험 목표 관련 이론 Boolean 대수 A + 0 = A, A + 1 = 1 에 해당하는 회로를 OR 게이트를 사용하여 구성 A 계산값 측정값
    리포트 | 7페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • 기초전자회로실험1 4주차 예비레포트 논리회로의 기초 및 응용
    논리회로의 기초및 응용 실험 목표 - 논리게이트 동작을 이해 - 범용 게이트를 이용하여 기본 논리게이트를 구성 -IC 칩 데이터시트를 보고 논리게이트 입출력 핀 배치를 이해 -IC ... 논리식의 간략화 논리회로 간략화 기본법칙 1 번식 회로 기본법칙 2 번식 회로 A+0=A A+1=1 A∙0=0 A∙1=A A+A=A A+A’=A A∙A=A A∙A’=0 A’’=A A ... 칩의 최대허용전압 , 전류 이해 논리게이트 측정문제 NAND 게이트 NOR 게이트 A B X 계산값 측정값 0V 0V 5V 0V 5V 5V 5V 0V 5V 5V 5V 0V A B X
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • 디지털 회로 실험 및 설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험및설계 결과 보고서 #1( 기본 논리 Gate 및 TTL, CMOS I/F 실험 )과 목담당교수제 출 일학 번이 름1. ... 회로도, 이론값, 실험결과실험 1) 전압 Level 측정실험 : 입력전압 변화에 따른 출력전압의 상태를 측정하고 기록하시오.이론값)입력전압0.0V0.5V1.0V1.5V2.0V2.5V3.0V3.5V4.0V4.5V5.0V출력전압4.4V4.4V3V0V0V0V0V0V0V0V0V논리레벨HHLLLLLLLLL실험결과 ... - 논리레벨 H 단계도 4.4V로 충분히 잘 나왔고, 논리레벨 L 단계에서 완전 0V가 나오진 않았지만, 0.xxxV 정도 측정되어서 거의 흡사했다.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 5장 연습문제
    세 개의 동전들을 모두 한 번에 던져서 그들 중에 두 개 이상이 앞면이 나오면 출력(F)이 ‘1’이 되어 램프가 켜지게 하는 회로를 설계하고자 한다. (1) 진리표를 작성하라.
    시험자료 | 13페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 3장 연습문제
    입력 A로 그림 3-43과 같은 파형을 가진 신호가 인가된다고 할 때, B, C 및 D의 파형을 각각 구하라.
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 4장 연습문제
    4.9 앞면과 뒷면을 가진 동전 세 개에 각각 논리 변수 A, B 및 C를 지정하기로 하자.
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • [A+, 에리카] 2021-1학기 논리설계및실험 디지털IC 개요, 조합논리회로 실험결과보고서
    이용하여 구성된 회로이다. - 조합논리회로 : 오로지 입력에 의해서만 출력이 결정되며 따로 메모리를 갖고 있지 않은 회로이다. - 순차논리회로 : 입력과 현재의 상태에 의해 출력이 ... 특히 논리적이고, 계산이 가능한 쉬운 모델 로 설계가 용이하기에 아날로그보다 디지털을 이용하여 대부분의 설계가 이루어짐을 알 수 있다.  회로의 종류 - 논리회로 : 논리 게이트를 ... 결정되며 조합논리회로와 달리 메모리 에 회로의 상태를 저장하는 회로이다.  Boolean Algebra(부울 대수) 이진 변수의 논리 동작을 다루는 산술연산 ① Boolean product
    리포트 | 11페이지 | 2,500원 | 등록일 2023.02.28
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설계및실험
    마찬가지로 실험3에서 이용하는 회로는 그림4를 참고하여 연결하여 표2와 같은 진리표의 결과를 구할 수 있다. ... 진리표의 Cout과 Sum의 결과를 확인하여 그림 4와 같은 전가산기의 회로를 만들 수 있다. ... 실험 목적반도체 소자를 활용하여 반가산기의 Truth Table을 확인하고, 반가산기를 사용하여 전가산기의Truth Table을 확인할 수 있다.Chapter 2. 관련 이론1.
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • 디지털 논리회로 - 이론 및 실험 (생능출판 김종현), 2장 연습문제
    리포트 | 12페이지 | 2,000원 | 등록일 2022.10.08
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:57 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대