• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(28)
  • 리포트(27)
  • 자기소개서(1)

"논리회로설계논" 검색결과 1-20 / 28건

  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제]실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고 ... 이는 단순히 2단 AND-OR게이트 회로로 나타낸 형태인 보다 2단계가 높아졌음을 알 수 있다.4bit full adder의 설계와 구현4bit full adder는 미리 설계해둔 ... 위 식을 회로로 그리면 는 4단계 AND-OR-AND-OR 게이트 회로로 나타내어진다.
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 파일확장자 verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)
    "verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)"에 대한 내용입니다.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.10.12 | 수정일 2023.11.28
  • 파일확장자 [논리회로설계실험] Xor gate & Xnor gate (logic gate 구현)(성균관대)
    Gate 설명 및 진리표 작성 XOR GateXor gate란, 수리논리학에서 주어진 2개의 명제 가운데 1개만 참일 경우를 판단하는 논리 연산이다. ... Xnor GateXnor gate에 Xor gate에 Not gate가 연결된 것으로 Xor gate와 정반대의 논리값을 출력한다. ... 단순 논리 표현에 주로 사용하며 비교적 하드웨어에 가깝게 기술되는 편이다.2) Behavioral Modeling입력 상태에 대한 출력 결과만을 고려하는 기술 방법으로 문서화 편의성이
    리포트 | 8페이지 | 1,500원 | 등록일 2024.06.07
  • 워드파일 multiplexer(멀티플렉서) VHDL 실습보고서
    배경이론(Background)1)Multiplexer여러 개의 입력신호중 하나를 선택하여 출력하는 논리회로로, 세가지 값으로 구성된다, 입력값과, 입력값을 선택해주는 값, 그리고 출력값으로 ... 그리고 이를 회로도로 구현하면, 아래와 같은 회로도가 만들어진다.그림2. 2-1multiplexer내부회로도2)8-1 multiplexer오늘 실습에서 구현하고자 하는 것은 8-1 ... 1.목적(Purpose)이번 실습은 8-1 Multiplexer를 구현하는 실습으로 8개의 입력값중 1개의 출력값을 선택하여 만들어내는 Multiplexer를 설계하는 실습이다. 8개의
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 한글파일 논리회로설계실험_비교기,MUX,ALU 결과레포트
    논리회로설계 실험 결과보고서 #3실험 3. 비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... 실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자. ... 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험_디코더/엔코더 레포트
    논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1. ... 실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을 ... 실험 결과- 실험 1.2x4 디코더를 설계하시오.1) 진리표InputOutputA _{1}A _{0}Y _{3}Y _{2}Y _{1}Y _{0}0*************0100111000Y
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 라인트레이서 레포트
    논리회로설계 실험 설계프로젝트 보고서주제 : 라인트레이서 설계1. ... 설계 배경 및 목표1) 설계 배경지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로와 순차회로설계하였다. ... 순차회로에서 설계한 분주기 설정, finite state machine 설계 등이 linetracer를 설계하는데 많이 사용될 수 있었다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험_반가산기/전가산기 결과레포트
    논리회로설계 실험 결과보고서 #2실험 2. 조합회로 설계1. 실험 목표반가산기와 전가산기에 대해서 이해하고, 반가산기와 전가산기를 세 가지 모델링 방법으로 설계한다. ... 또한, Schematic Design으로 반가산기과 전가산기의 논리회로를 그려보고 시뮬레이션을 통해 논리회로가 제대로 그려졌는지 확인해본다. ... 설계하였다.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 이진수 디코더는 부호화된 2진수 정수를 부호화되기 전으로 되돌리는 조합논리회로이다.n TIMES 2 ^{n} 디코더는 2진수로 된 n개의 입력 신호를 최대2 ^{n}가지 출력 신호로 ... 원래의 형태로 되돌리는 것도 디코더이다.’4to 10 decoder디코더와는 반대로 신호를 코드화하는 기기를 엔코더라고 한다.(2) 엔코더엔코더는 디코더의 반대 기능을 수행하는 조합논리회로
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 즉 순차회로는 조합회로와 메모리를 합친 것이다.이는 순서논리회로, 프로토콜, 컴퓨터, 컴파일러 등의 동작을 표현, 이해, 설명하고 설계하기 위한 체계적이고 수학적인 방법의 틀을 제공한다 ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 Full adder VHDL 실습보고서(전가산기)
    이론으로만 알고 있었던, 논리회로들을 직접 코딩을 통해 실제 값을 산출하여, 나오는 파동(wave)을 관찰하고, 값들이 잘 나오는지, 오류는 없는지를 확인한다. ... 배경이론(Background)1)Full adder (전가산기)1비트의 2진수를 3개 더하는 논리회로이며, 2개의 값을 직접 입력 받고, 나머지 한 개는 Carry in/out의 값으로 ... Full_adder 논리회로도InputOutputXyCarry insumCarry out0*************00110110010101011100111111*Truth table표
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    논리회로설계 실험 설계과제 보고서주제 : #1 BCD 가산기 설계1. 설계 배경 및 목표1) 설계 배경컴퓨터는 2진법을 이용하여 계산을 한다. ... 입력 신호인 BCD와 출력 신호인 7 segment에 대해서 진리표로 나타낸 후, 카르노맵을 사용하여 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 ... 2진수에서 10진수로의 변환이 간단하고 이를 7 segment에 연결시키면 쉽게 10진수를 출력할 수 있다는 장점 때문에 주로 10진법으로 수를 표기하는 것이 필요한 프로세서나 회로에서
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 서울시립대학교 전자전기컴퓨터공학과 학업계획서
    IoT 통신의 원리를 이해하기 위해, H/W 회로에 대한 학습을 했고 회로이론, 논리회로, 전자회로 전공도서를 구매해 회로의 기본 지식을 학습했습니다.아두이노 보드를 구입해 블라인드와 ... 싶습니다.향후 학습 목표 및 진로계획, 기타 특이사항H/W에 기반한 시스템 설계를 위해 제어, 마이크로프로세서, 자료구조, 시스템, 전자회로, 네트워크 분야에 대해 공부할 것입니다 ... 특히 다양한 기관에서 진행하는 대외활동과 프로젝트에 참여해 전자회로와 제어, 아두이노, Github 활용법, 반도체 구조설계 실습 등을 통해 SW을 이용한 IOT 기술의 이해도를 높이고
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.04.12 | 수정일 2023.05.10
  • 워드파일 논리회로설계실습-FSM-결과보고서
    논리회로설계 실험 결과보고서 #9실험 9. ... 스텝 클록 발생 회로를 사용한 링 카운터는 스위치를 누른 시점에 대하여 한번씩 작동하도록 설계하여야 한다. ... 이를 사용하여 스텝 클록 펄스 발생회로를 이용한 링 카운터를 설계해 본다. 작성된 코드를 사용하여 RoV-Lab3000과 연결하여 하드웨어 작동을 해 본다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 한글파일 가산기와 감산기
    구하는 논리회로이다.② 반감산기 설계- 각각 2개의 입력 단자와 출력 단자를 가지는 회로로써, 두 개의 비트를 빼서 Difference와Borrow를 구하는 회로이다.③ 전가산기 ... 설계- 비교기는 두 2진수 값의 크기를 비교하는 논 리회로로써 8개의 Data input과 3개의 Cascade input, 그리고 3개의 Output을 가 진다.● 실험 결과ABSC0 ... 설계-각각 3개의 입력 단자와 2개의 출력 단자를 가 지는 회로로써, 덧셈해야 할 2개의 비트와 다 른 숫자 위치에서 자리 올림 비트를 받아 Carry와 Sum을 출력하는 회로이다.전가산기와
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • 한글파일 논리회로설계실습 순차회로(카운터) 결과보고서
    논리회로설계 실험 결과보고서 #8실험 8. 순차회로 설계 - 카운터1. ... ”→ “11111100”→“00000000”(총 16가지 경우)8비트 크기를 가지는 X[7:0]의 각 비트는 순서대로 RoV-Lab 3000의 LED 8개의 점등 여부를 결정하며 논리값이 ... 실험 결과 1Hz 8비트 존슨 카운터와 링 카운터를 설계하시오.
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.10
  • 한글파일 논리회로실험 설계 보고서
    VHDL은 동기식뿐 아니라 비 동기식 순차 회로 구조도 처리한다.5. 한 설계에 대한 논리 연산 및 타이밍 동작은 시뮬레이션 될 수 있다.2. ... 1 논리회로실험설계과제·REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)`(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 ... 이형은 0과 1 뿐만 아니라 실제 논리 회로에서 논리 신호를 시뮬레이션하는 데 유용하다고 알려진 7개의 다른 값들도 포함한다.std_logic_vector전형적인 VHDL 프로그램에서
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 한글파일 VHDL STOPWATCH 설계보고서, QUARTUS STOPWATCH 설계보고서
    VHDL 이용한 STOPWATCH 설계 과제1.설계 목적-VHDL사용법과 VHDL의 코드구조인 계층구조를 익히고 설계해본다.2.설계과정≪ VHDL 소스코드를 계층 구조로 표현하기 위한
    리포트 | 16페이지 | 5,000원 | 등록일 2014.02.28
  • 한글파일 디지털 회로 설계-도어락
    기초학문인 디지털을 배움으로써 기본 논리학과 기초 디지털 설계를 배우고 이에 따른 실험을 할 수 있는 학문을 선택하여 이번 텀 프로젝트에 참여하게 되었다.마지막 과제인 프로젝트를 함으로써 ... 개발 목표와 설계 사양1. 개발 목표디지털 회로 설계 시간에 배운 내용을 바탕으로 디지털 도어락을 설계 하는 것이다. ... 팀원들과의 협동 능력 및 개인의 능력을 발휘하여 작품을 완성함으로써 디지털 회로 설계에 대해 얼마나 이해 하였고, 응용 가능한가를 보여준다. 1년간 배운 디지털 회로 설계 과목의 최종적인
    리포트 | 5페이지 | 2,000원 | 등록일 2013.11.01
  • 한글파일 디지털회로실험 11장. 멀티플렉서/ 디멀티플렉서
    항상 책에 있는 회로 그대로 설계하는 것은 이제 누구 나도 할 수 있을 것 같다. ... 완성된 구현표를 바탕으로 MUX를 이용하여 부울함수를 구현하면 다음과 같은 논리회로도가 나타난다.??2. ... 1X4 디멀티플렉서의 논리회로도와 블록도는 다음과 같다?디멀티플렉서를 DEMUX라는 약어로 표현하기도 한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.04.07
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 03일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:59 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기