• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,603)
  • 리포트(4,383)
  • 자기소개서(158)
  • 시험자료(37)
  • 방송통신대(11)
  • 논문(7)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리회로실험" 검색결과 1-20 / 4,603건

  • 한글파일 논리회로실험 순차회로 설계
    논리회로설계 실험 예비보고서 #6실험 6. 순차회로 설계1. 실험 목표순차회로의 기본 회로인 Latch와 Flip ? ... 고찰이번 예비실험에서는 순차논리회로에 대해 학습하였다. 순차논리회로란 입력이 들어가서 출력이 되고 다시 그 출력이 입력이 되는 회로다. ... 게이트 또는 NOR게이트를 이용하여 회로를 구성한다.- 논리 회로로 구성되었기 때문에 논리회로에 준하는 빠른 동작속도를 얻을 수 있고 플립플롭으로 활용 가능하다.- 대표적인 래치로써
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7실험 7. 카운터 설계1. ... 아래의 그림은 본 예비실험에서 사용되는 비동기식 업카운트의 상태도와 논리회로도이며, 4비트의 2진 카운터이다. 0부터 클록의 수가 증가하면 15까지 증가하게 되고, 16개의 상태를 ... 실험 목표순차회로의 응용회로인 카운터에 대해 종류와 각각의 기능에 대해 학습하여 동작원리와 각각의 동작 특성을 알고, 이를 바탕으로 8비트 비동기식 업카운트와 8비트 동기식 다운카운트를
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 디지털논리회로실험 논리게이트 실험 레포트입니다.
    결과를 이용하여 논리 레벨 High와 Low의 전압 범위를 결정하시오- Low 레벨 : 0 ∼ 0.8V- High 레벨 : 1.2 ∼ 5V문의 사항-주제디지털 회로실험 (AND 게이트 ... 이를 논리회로도로 구성하려면 어떤 형태의 게이트가 필요한지 설명하시오.- 자동차의 문이 4개이므로, 각 문마다 입력 및 출력을 설정하여, 4 입력 OR 게이트를 사용하면 된다. ... 주제디지털 회로실험 (NOT 게이트)학번이름목표? 실험을 통한 NOT 게이트 실험값 기록? 실험을 통한 NOT 게이트 이해실험과정? NOT 게이트 이론 이해?
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.13
  • 한글파일 디지털회로실험 논리게이트 실험 레포트
    디지털회로실험실험보고서제목 : 기본 논리 게이트(NOT, AND, OR)일반 논리 게이트(NAND, NOR, 버퍼)1. ... 실험 내용- 실험 회로실험에 사용한 부품 및 장비- 필요 부품 : 브레드보드, TTL(7404, 7408, 7432, 7400, 7402, 74125), LED, 가변저항, 저항, ... 실험 내용- OR 게이트 실험(7432)- OR 게이트는 입력의 합이 출력이 되는 이론.- OR 게이트 회로에 전원 공급기로 케이블을 연결하여 5[V]의 전압을 인가한다.- OR 게이트
    리포트 | 9페이지 | 1,500원 | 등록일 2020.12.13
  • 한글파일 디지털회로실험 산술논리연산회로 결과
    디지털회로실험-산술논리연산회로 결과-학과 : 전자공학과1. ... 고찰: 산술논리연산회로는 산술연산회로논리연산회로를 조합한 것이라는 걸 알았다. 시간 관계상 실험은 산술과 논리를 따로 알아보고 마쳤다. ... 이는 이론과 일치한다.: 산술논리연산회로(ALU)의 기능과 구성에 대해서 알게 된 실험이었다. 산술논리연산회로는 산술연산회로논리연산회로로 구성된다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.04.16
  • 파일확장자 논리회로실험 실험6 예비보고서
    실험 목표- 실험을 통한 여러 가지 부울 대수 규칙의 증면- 규칙 10과 11을 증명하기 위한 논리의 구현- 실험을 통한 3-입력 변수 회로에 대한 진리표의 작성과 드모르간의 정리를 ... 실험순서 요약정리1) 그림 6-1의 회로를 구성한다. ... 펄스 발생기와 출력신호를 오실로스코프로 동시에 관찰한다2) 단계 1에서의 회로를 그림 6-2의 회로로 바꾼 후 오실로스코프로 출력신호를 관찰한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.23
  • 파일확장자 논리회로실험 실험4 결과보고서
    실험후 퀴즈1) 단계 3에서 XOR 게이트의 선택적 반전 특성을 언급하였다. ... 주어진 신호의 반전 또는 비반전을어떻게 선택할 수 있는지 설명하시오. - 그림 4-1의 회로에서 을 닫으면 접지와 연결되어서 XOR 게이트의 1번핀에 0이 입력되어서2번핀에 입력되는
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.03
  • 한글파일 (디지털 회로실험)8421 Encoder의 논리회로 설계
    디지털실험설계 01.실험제목 : 8421 Encoder의 논리회로 설계Ⅰ 설계과정Encoder의 기능을 익히고, 부호변환 회로의 설계방법을 익힌다.조건 : 디지털 논리소자를 이용하여 ... 디지털 논리소자를 이용한 회로도를 설계한다.Maxplus Ⅱ 프로그램을 이용해 회로를 구성한다.8421인코더는 논리소자 OR게이트로 구성된다는 것을 알 수 있다. ... 회로에서는 오직 신호의 ON과 OFF만을 갖고 논리를 결정짓기 때문에 2진수의 개념을 도입하면 회로를 통해 2진 연산이 가능해진다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 파일확장자 논리회로실험 실험7 예비보고서
    진리표, 논리회로는 같은 내용을 다른 방식으로 표현한 것, 셋 중 어느 하나가 주어지면 나머지 두 개를 구할 수 있다.부울식 간소화 (1)부울대수를 사용하는 방법 - 부울대수의 법칙 ... 7-3 실험전 퀴즈1) 카르노 맵의 측면과 상부에는 어떤 형식의 코드가 사용되는가?
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.23 | 수정일 2022.03.25
  • 파일확장자 논리회로실험 실험6 결과보고서
    리포트 | 7페이지 | 1,500원 | 등록일 2022.03.23 | 수정일 2022.03.24
  • 한글파일 디지털 회로 실험-논리함수의 간략화
    디지털 회로실험실험4. 논리함수의 간략화1. 목적-드모르간의 정리를 실험적으로 증명한다.-카노프맵에 의한 논리함수의 간략화를 익힌다.2. ... C=(A+B)’, (A+B)’=A’B’카노프 맵(Karnaugh Map)을 이용한 논리회로의 간소화 : 카노프 맵은 부울 대수식을 간소화하기 위한 체계적인 방법으로 논리회로의 진리표를 ... 실험순서순서1- NAND 게이트 회로의 입력(A, B)에 해당 신호(1 또는 0)를 넣었을 때 나오는 출력(W, X)을 예상해서 표를 작성하시오.순서2- NOR 게이트 회로의 입력(
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 [논리회로실험] RAM 예비보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 실험과정 및 예상 결과1) 실험 1 : 2-bit RAM- 7400으로 R-S Flip-Flop 2개를 구성하여 위의 회로를 구현한다.* Write- In0, In1의 입력 값으로 ... :학 번:성 명:실험 9.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.12.31 | 수정일 2023.03.29
  • 한글파일 [논리회로실험] RAM 결과보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 실험 과정 및 실험 결과1) 실험 1 : 2-bit RAM- 7400으로 R-S Flip-Flop 2개를 구성하여 위의 회로를 구현한다.* Write- In0, In1의 입력 값으로 ... :학 번:성 명:실험 9.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.31 | 수정일 2023.03.29
  • 한글파일 논리회로실험 병렬 가산기 설계
    논리회로설계 실험 예비보고서 #3실험 3. 병렬 가산기 설계1. ... wiki/2%EC%9D%98_%EB%B3%B4%EC%88%983) 병렬 가감산기 : http://blog.naver.com/k97b1114/1401592913964) 병렬 가산기 : 논리회로설계실험 ... 계산결과에서 2의 보수에서는 가장 높은 자리에서 자리올림이 발생시, 이를 무시하므로 결과값은 00011001이다.(3) 병렬가산기 논리회로오른쪽의 그림은 8bit 병렬가산기의 논리회로도이다
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로설계 실험 기본게이트 설계
    논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... 또한 전기전자 논리회로 교과목의 기초지식과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로를 설계하고 진리표를 통하여 각 기본 ... 실험 내용- 실험 1. 2개의 입력을 가진 AND, OR을 동작적 모델링과 자료 흐름 모델링으로 작성하시오.(1) AND GATE1) 진리표XYF*************) 소스 코드동작적
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로설계실험 라인트레이서 레포트
    논리회로설계 실험 설계프로젝트 보고서주제 : 라인트레이서 설계1. ... 설계 배경 및 목표1) 설계 배경지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로와 순차회로를 설계하였다. ... 순차회로에서 설계한 분주기 설정, finite state machine 설계 등이 linetracer를 설계하는데 많이 사용될 수 있었다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 [논리회로실험] 실험8. Counter 결과보고서
    비동기식 카운터는 CLK 값이 첫 번째 플립플롭에만 인가되는 회로이기 때문에 그 다음 플립플롭의 클럭 입력값은 앞 단의 플립플롭의 출력값으로 인가된다. ... 사각파를 만들어 클럭 펄스를 인가한다. (+ 선은 74HC76 1번, - 선은 GND 처리)이 때 비동기식 Counter이므로 회로도에서 첫 번째 단의 플립플롭에만 CLK 인가한다 ... 만들어 클럭 펄스를 인가한다. (+ 선은 74HC76 1, 6번, - 선은 GND 처리)이 때 동기식 Counter이므로 회로도에서 모든 플립플롭에 CLK 인가한다.74HC76의
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
  • 한글파일 논리회로실험 비교기와 MUX, ALU
    논리회로설계 실험 예비보고서 #5실험 5. 비교기와 MUX, ALU1. ... 실험 목표출력이 입력에 의해서만 정해지는 조합논리회로인 비교기, MUX, DEMUX, ALU의 개념과 특성에 대해 알아보고, 조합논리회로의 한 예로 주어진 ALU의 진리표를 토대로 ... 실험 내용- 실험 1. 8가지 기능을 가진 ALU를 설계하시오.1) 논리기호와 진리표S2S1S0논리식기능000Y = AA의 전송001Y = A+B가산010Y = A-B감산011Y =
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로실험 마지막 프로젝트 라인트레이서.
    논리회로설계실험 프로젝트 #3라인 트레이서 설계1. 설계 배경 및 목표스텝 모터, 라인 트레이서, 적외선 센서 등에 모터를 사용하는 차에 대해 조사해본다. ... 흰 선 또는 흰 바탕의 검은 선을 따라 목적위치까지 이동한다.2) 주파수 분주기- 클록 분주기라고도 불리는 주파수 분주기는 주파수를 입력 값으로 받아서 주파수를 출력으로 내보내는 회로이다
    리포트 | 8페이지 | 2,500원 | 등록일 2021.10.01
  • 한글파일 [논리회로실험] Counter_ 예비보고서
    실험이론1) Counter- 클럭펄스를 세어서 수치를 처리하기 위한 논리회로- 반복해서 일어나는 현상의 수를 셈한다.- 플립플롭 회로로 구성한 2진 계수기와 변형된 n진 계수기, 링 ... 실험하고 그 동작원리를 이해2. ... 실험과정 및 예상 결과1) 실험 1 : 2단 2진 Counter - 비동기식 Counterpower supply를 연결하고 74HC76과 74HC08 칩을 이용하여 2단 2진 Counter를
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.03 | 수정일 2023.03.29
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 03일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:39 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기