• 통큰쿠폰이벤트-통합
  • 통합검색(8,378)
  • 리포트(7,360)
  • 시험자료(440)
  • 방송통신대(325)
  • 자기소개서(224)
  • 논문(19)
  • 서식(5)
  • ppt테마(2)
  • 노하우(2)
  • 이력서(1)

"논리 신호등" 검색결과 1-20 / 8,378건

  • 논리회로실험_신호등(사거리) 레포트
    원인 모를 특정부분 전압저하현상과 회로를 작동시키면 정상작동하던 IC칩 손상이 확인되는 어려움 등이 있었다.논리회로실험 과목을 수강하는 동안 배운 모든 내용들을 완벽히 숙지하고 있어야 ... 그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다.3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다. ... EW 녹색 신호등은 4초 동안 지속된 후 2초간 황색 신호를 준 후 적색으로 바뀐다.※ 기타사항 : 차량이 통행하는 것은 스위치를 이용하라.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 논리회로 카운터 신호등 설계
    (설계에 있어서는 하나의 신호등만 표현했으므로, 빨간 신호는 1clock만 표현 하였습니다.) ... 따라서 4bit Counter을 통해서도 신호등 설계를 할 수 있다는 것을 알 수 있었습니다. ... 로직웍스(Logic Works)를 통해 시뮬레이션 하였으며, 신호등을 LED소자로 구현해보았습니다.
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • 아주대 논리회로 vhdl 신호등 과제[학점 A+]
    보행자 신호등은 적색등과 녹색등으로 이루어져있으며, 녹색등 안에 숫자를 카운트할 수 있는 7-Segment 2개가 포함된 게 일반적인 신호등이다.이번 프로젝트에서는 간단하게 ... 녹색등 하나에, 7-Segment 1개가 포함된 신호등을 제작한다.입력 1개와 출력 8개가 존재해야하며, 입력 1개는 시작을 알리는 입력으로 끝날 때까지 입력이 지속되어야 하며, ... 표현한다.> 이 source에서 architecture의 이름을 light라 하고, 그 안에 신호 temp를 integer로 선언하였다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.29 | 수정일 2016.05.31
  • 디지털 논리를 이용한 신호등 제작
    디지털논리회로실험◆실험 목표◆-디지털논리회로실험시간에 배운 내용을 토대로 교통신호 제어기를 만든다.-신호등의 점등시간은 주도로와 부도로 녹색등 8초, 황색신호등은 2초로 한다. ... 13장 디멀티플렉서를 이용한 조합논리에 있는 설계도를 참고하였다.교통신호등 설계도여기서 각 신호등 끝에 좌회전 신호등을 하나씩 추가하였다. ... 좌회전 신호등은 각 도로 녹색등과 같이 연결하였다.
    리포트 | 12페이지 | 5,000원 | 등록일 2011.11.02
  • 논리회로실험_VHDL을 이용한 신호등 설계
    1. Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller easily accessible in our lives.- Traffic light..
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • 플립플롭으로 신호등 만들기 제어(디지틀논리)
    논리도에서 JK F/F은 J=K=1이면 F/F의 출력은 불변이다. ... 진리값이 일치함을 확인 할 수 있었다.이번 과제을 통하여 동기 순서논리회로에 대하여 이해를 더 명확하게 할 수 있었으며, 카운터의 특성이라던지 , 여기표 , 상태도 등 사용법을 익숙하게 ... 보행자: 정지▷거리 신호등북쪽,남쪽 신호등: 빨간불 ? 자동차:정지서쪽,동쪽 신호등: 좌회전?
    리포트 | 13페이지 | 2,000원 | 등록일 2009.11.15
  • 김재석 디지털 논리, 신호등, staffic light controller, STLC, Flowian 프로젝트
    .* local road에 차가 있으면 Highway green이 60초 이상일 경우일 때 local load 신호가 yellow으로 바뀐다.* local road에 차가 없으면 highway
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.23
  • 디지틀 논리 회로 - 사거리 신호등
    또 남쪽 신호등의 직진신호가 꺼지면 북쪽 신호등에 좌회전 신호가 들어온다. 그리고 노란불 후 빨간불이 켜진다. 이후에는 같은 방법으로 서쪽과 동쪽 신호등신호가 들어온다. ... 그러다 남쪽 신호등의 좌회전 신호가 꺼지고 노란불이 들어온 후 빨간불이 켜지면 북쪽 신호등에 직진신호가 들어온다. ... 사거리 신호등G○R ●● R○GR●R ●● R○ ←G L처음에는 남쪽 신호등에서 좌회전과 직진신호가 들어오고 나머지는 빨간불이 켜져 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.05.26
  • 논리 회로를 이용한 교통 신호등의 제작
    기존의 교통 신호등에 ALL RED 시스템과 차량의 통행을 감지하는 시스템을 적용한 지능적인 사거리 교통 신호등논리 회로의 이론과 실험 시간에 익힌 경험으로 구현하고, 교통 신호 ... 전자 표시판, 전광판, 산업기계 표시기 및 각종 교통 안전 신호등 등의 조명등에 사용된다.II. ... 이것이 일반 신호등과 다른 ALL RED 시스템을 적용한 신호등이다.4) OVERRIDE를 주었을때OVERRIDE 값이 1일 때의 결과값이다.
    리포트 | 14페이지 | 5,000원 | 등록일 2007.11.07
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. ... 세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다. ... 기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [디지털 논리]사거리 신호등 제어기
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 프로젝트를 수행하였다. ... -각 단의 상태 전환은 그들의 논리 게이트에 따름. ... 보행자: 정지▷거리 신호등북쪽,남쪽 신호등: 빨간불 ?
    리포트 | 22페이지 | 3,000원 | 등록일 2006.06.26 | 수정일 2016.11.21
  • 논리회로 신호등 제어기(교차로-2센서) 설계
    신호등 제어기(교차로-2센서) 설계현재상태입력다음상태출력ABCDWTETA+B+C+D+EWREWYEWGNSRNSYNSG0000XX00011000010001XX00101000010010XX001
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • 디지털 및 순서 논리 회로 프로젝트
    디지털논리 - 순서논리 회로 프로젝트⊙ 요구 사항 (과제 목표 및 개념도)⊙ 배경 지식 (용어 정리: 순차회로, 무어 머신 등..)⊙ 설계 내용? 상태 정의와 상태 전이? ... din'◎ 회로도⊙ 결론* 요약 정리순서논리회로 : 출력은 현재상태의 입력과 이전상태의 출력에 따라 결정.신호의 타이밍으로 동기/비동기 순서논리회로로 나눔. ... 밀리 머신 : 현재 상태와 입력신호에 의해서 출력을 결정⊙ 설계 내용◎ 상태 정의와 상태 전이: 출석 번호 = 23번 , 2진수의 6비트 = 010111?₂?S?
    리포트 | 6페이지 | 2,500원 | 등록일 2021.07.02
  • 순차논리회로설계 결과레포트
    조합논리회로 : 외부 입력과 상태 정보 등 두 입력 신호와 다음 상태 정보와외부 출력 신호 등 두 출력 신호가 있음? ... : load 신호가 클럭에 영향을 받지 않는다.- 비동기 클리어, 프리셋 레지스터 : 클리어, 프리셋 신호가 클럭에 영향을 받지않는다.- Verilog, VHDL의 인퍼런스(Inference ... [이론내용]▣ 순차논리회로와 상태도▷ 상태도 (FSM : Finite State Machine)- 조합논리회로만으로 디지털 논리회로를 설계하는 것이 쉽지 않다.여기서 조합논리회로란,
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    segment display-7-segment display는 A~G의 7개 LED로 간단한 숫자 또는 문자를 표현할 수 있는 display 소자이다. dp라는 LED도 있어서 부호의 표현 등도 ... 또한 디코더는 enable 신호가 존재해, enable이 active일 때 code 변환을 실행하게 된다. ... 디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 초기상태 0000부터 1111까지 LED 점등을 통해 올바르게 동작하는 것을 확인하였으며, 8진 비동기 카운터와 유사하게, 최대 표현 숫자 1111에서 스위치를 누르면 초기상태 0000으로 ... 서론설계실습계획서에서 8진 비동기 카운터 회로에 대하여 Timing chart로 Simulation한 결과, 실험에서 스위치 역할을 대신하는 clk 신호에 의해 각 JK flip flop의
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 논리회로실험 순차회로 설계
    - Flop- 1비트의 정보를 기억할 수 있는 회로로 컴퓨터의 주기억장치 RAM이나 캐시 메모리, 레지스터를 구성하는 기본 회로- 전원이 공급되는 한, 상태의 변화를 위한 외부 신호가 ... RST, 마스터슬레이브 플립플롭 등이 존재하며 그림은 D FF이다.(3) 레지스터- 플립플롭 여러 개를 일렬로 배열하고 적당히 연결한 것을 말한다.- 여러 비트의 2진수를 일시적으로 ... 고찰이번 예비실험에서는 순차논리회로에 대해 학습하였다. 순차논리회로란 입력이 들어가서 출력이 되고 다시 그 출력이 입력이 되는 회로다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... 구성되며, 플립플롭 같은 기억 소자는 포함되지 않음.(2) Encoder- 외부에서 들어오는 임의의 신호를 부호화된 신호로 변환.- 2^N 가지의 입력신호들로부터 N비트의 출력신호를 ... 실험 이론(1) 조합 논리 회로- 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로- 출력이 입력에 의해 결정됨.- 논리 게이트로만
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • (디지털 회로실험)8421 Encoder의 논리회로 설계
    외부에서 들어오는 임의의 신호를 부호화된 신호로 변환하여 컴퓨터 내부로 들여보내는 조합 논리회로로2 ^{n}개의 입력신호로부터 n개의 출력신호를 만든다. ... 회로에서는 오직 신호의 ON과 OFF만을 갖고 논리를 결정짓기 때문에 2진수의 개념을 도입하면 회로를 통해 2진 연산이 가능해진다. ... Z 등과 같이 이루어져 있으므로 OR게이트로 구성됨을 알 수 있었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 순차논리회로기초 실험 예비보고서
    필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호를 사용하므로 전용의 클력 배선이 필요한 경우도 있다. ... 개요디지털 논리회로 교과에서 학습한 순자 논리 회로의 동작을 아두이노를 이용해 되풀이 해보고. ... 디지털공학에서 입력을 출력에 반영하는 시점을 클럭 신호의 순간 엣지에서 반영하는 플립플롭과, 입력에 따라 항상 반영되는 래치로 구분된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대