• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(152)
  • 리포트(121)
  • 자기소개서(22)
  • 논문(5)
  • 시험자료(2)
  • 서식(1)
  • 방송통신대(1)

"도어락 회로" 검색결과 1-20 / 152건

  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    전체 회로도완성된 Door-Lock 회로6. ... 전체 회로도96. 전체 설계 평가131. 실험(1) 프로젝트 : Door-Lock 설계지시사항(1) 총 3개의 7-Segment LED가 사용된다. ... 2018년 1학기 실험(1): 디지털 논리회로12018년Final Project1목차1. Door-Lock Project 설계 지시사항32. 설계 준비413. 설계 아이디어64.
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 비밀번호 수정이 가능한 논리회로 전자도어락(회로도, 기능 설명)
    비밀번호 입력 시:*버튼 누르기 -> 비밀번호 누르기 -> 확인 버튼 누르기 -> 일치/불일치 -> *버튼 누르기비밀번호 변경 시:*버튼 누르기 -> 비밀번호 누르기 -> 확인 버튼 누르기 -> 일치/불일치(일치 시 다음단계)-> 변경할 비밀번호 누르기 -> 확인 버튼..
    리포트 | 20페이지 | 5,000원 | 등록일 2020.11.16 | 수정일 2023.10.11
  • 비밀번호 수정이 가능한 논리회로 전자도어락(회로도, 제작 과정, 발표 자료)
    최종 회로회로도 수정사항1) Input latch에 있는 AND gate 에 NOT 제거2) Temp latch에 있는 스위치에 NOT 제거3) 마지막 latch Q값이 들어가는
    리포트 | 28페이지 | 5,000원 | 등록일 2020.11.16 | 수정일 2023.10.11
  • 논리회로실험 프로젝트 도어락
    텀 프로젝트 최종 보고서논리회로실험1. 도어락의 개요도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다.2. ... : out std_logic );end door_lock;architecture behavioral of door_lock istype states is (ready, in_start ... 1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.numeric_std.all;entity door_lock
    리포트 | 14페이지 | 1,000원 | 등록일 2018.11.18
  • [Ayeun]디지털회로 텀프로젝트 디지털도어락
    이러한 동향으로 볼 때 꽤 많은 부품이지만 모두 배웠던 부품이 들어가는 도어락을 설계해 보자라는 동기가 부여 됐다.디지털 도어락의 목적은 다음과 같다.- 비밀번호를 입력할 키패드와 ... 이러한 이유는 기계식 현관문이 아닌 디지털 도어락으로 대체되어 열쇠가 필요 없어졌기 때문이다. ... 키패드를 통해 입력된 값들을 3개의 신호로 바꿔 줄 인코더, 값을 저장할 D 플립플롭, 클럭을 만들어줄 4bit 카운터와 DEMUX 등을 이용하여 디지털 도어락을 설계한다.- 초기
    리포트 | 18페이지 | 2,500원 | 등록일 2018.11.08
  • 디지털논리회로-도어락(door lock) 프로젝트 (+ MULTISIM 회로도),홍익대,2학년1학기,도어락,프로젝트,DoorRock,project,2-1
    2. 설계 방법(1) 카운터와 7-세그먼트 연결-UP/DOWN 스위치는 SPST를 사용하여 각 상태가 유지되도록 하고, INPUT 스위치는 NOPB를 이용하여 한번 식 누를 때마다 펄스가 형성되도록 구성한다. -각 스위치에 SOURCE를 연결하고 UP/DOWN 스위치를..
    리포트 | 14페이지 | 2,000원 | 등록일 2017.06.28 | 수정일 2019.01.25
  • 아주대 논리회로 DOOR LOCK term project
    2015-2학기-선우명훈 교수님 논리회로 프로젝트였습니다.VHDL을 이용한 코딩으로 ModelSim 있어야 돌아갑니다.
    리포트 | 12페이지 | 2,000원 | 등록일 2015.12.24
  • 디지털 회로 설계-도어락
    2009학년도 2학기 “디지털 회로 설계” 설계 프로젝트 최종보고서1디지털 도어락Digital Door lock김경준(20092450), 김우철(20094321), 박용민(20062464 ... 개발 목표디지털 회로 설계 시간에 배운 내용을 바탕으로 디지털 도어락을 설계 하는 것이다. ... 그리고 실제 도어락의 기능에는 미치지 못하지만, 2만원의 비용으로 도어락의 기능을 설계 함으로써 개발 비용측면 에서는 비교적 성공적이었다고 생각된다.VI. 결론 및 고찰1.
    리포트 | 5페이지 | 2,000원 | 등록일 2013.11.01
  • <<[AVR] 디지털 도어락 만들기>>디지털 도어락 제작,디지탈 도어록,소스코드, 회로도,ATmega128,AVR졸업작품,키패드,CLCD,패스워드 입력,변경,비밀번호,디지털 도어락 만들기
    목 표 디지털 도어락(Digital Door Lock)은 뛰어난 보안과 편의성으로 도어의 잠금장치로써 널리 쓰이고 있다. ... ['[AVR] 디지털 도어락 만들기 + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 이 문서에서는 AVR ATmega128을 이용하여 이러한 디지털 도어락을 제작해보려 한다.
    리포트 | 29페이지 | 9,000원 | 등록일 2016.07.12 | 수정일 2017.04.20
  • 아주대 논리회로 VHDL 두 번째 과제 door lock
    (1) 문제 설명 및, 예상결과. 설정한 비밀번호가 5017인데, 입력한 비트 4비트 4개와 각 자리의 비밀번호를 비교해봐서 같으면 wrong이 0이 출력이 되고, 다르면 1이 출력이 된다.5017의 값을 넣지 않는 이상 wrong이 1이 하나라도 존재할 것이며, 따..
    리포트 | 3페이지 | 4,000원 | 등록일 2014.03.23
  • 실험1 실험(1) 프로젝트 디지털논리회로 도어락 설계
    JK플립플롭을 이용하여 구성한 회로도는 아래와 같다. ... 플립플롭 카운터 한 두 개는 쉬워서 금방금방 시뮬도 할 수 있고 회로도가 나와있어서 금방 할 수있었는데, 이렇게 많은 내용과 조건도 까다로운 회로를 디자인 하라고해서 많이 당황을 하였다 ... 관련이론 및 사용부품(1) 레귤레이터회로도에 5V의 전압을 걸어주어야 하는데 9의 건전지를 5V로 변환하는 소자이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2014.09.21
  • 디지털도어락(digital door lock)설계-논리회로설계실험
    Digital Door Lock중간고사 대체 Project 과제 : Digital Door Lock 설계1. ... 클럭의 상승에지에 동작하도록 설정하였다. std_logic형이다.③ key_num : 실제 도어락에서 버튼의 역할을 하는 input값이다. ... 프로그램 설명흔히 전자키로 쓰이는 digital door lock을 간단하게 설계해 보았다.
    리포트 | 16페이지 | 4,000원 | 등록일 2009.10.23 | 수정일 2015.11.04
  • 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    모듈에서 이 컴포넌트들을 관리한다. 2.구현 방법 & 결과의 구조 및 구성모드의 구현가장 먼저 모드를 구현하는데 모드는아무것도 안하는 기능을 하는 menu모드 , 문열기 모드 , 도어락 ... )beginif reset = '1' thencnt ... = '0' thensori_in_temp1(0)
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • SR플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED PPT
    논리회로 요소설계 프로젝트 SR 플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED목차프로젝트 개요 계획 저장할 수 있는 성질을 가진 플립플롭을 사용하여 간단한 도어락을 만들어 ... Cds 소자를 활용한 자동 LED 를 부착해 어두운 환경에서도 도어락을 한번에 찾을 수 있도록 설계 기본 원리 SR 플립플롭에 값을 저장해두고 설정해둔 비밀번호의 플립플롭 번호에는 ... 이후 AND 게이트를 통해 모든 값이 1 일 경우 ( 맞는 비밀번호를 입력했을 경우 ) 도어락에 열림 신호를 보내게 된다 . 주변이 어두워지면 CdS 의 저항 값이 커진다 .
    리포트 | 10페이지 | 3,000원 | 등록일 2023.07.26
  • 홍익대학교 전전 실험1 프로젝트 보고서
    그러나 Reset Button을 누르면 처음과 같은 설정상태로 되돌아가기 때문에 다시 동작할 수 있게 된다.작동원리 : 도어락을 만들기 위해서는 앞에서 설계한 카운터들의 값을 눈으로 ... 로직웍스를 몇일간 돌리고 수정하고 계속 회로를 그리며 보니 회로를 설계할 수 있었고 부품을 사러 종로에 갔고 사고난뒤에 납땜을 시작했다. ... 물론 처음에는 프로젝트 회로설계에서부터 꼬였다.
    리포트 | 9페이지 | 3,000원 | 등록일 2020.12.25
  • [프로젝트/발표] Smart Doorlock 제작
    아두이노와 블루투스는 SoftwareSerial로 연결되어있기 때문에 블루투스 통신을 위해 SoftwareSerial 라이브러리를 불러옵니다.회로도에 꽂아둔 것처럼 RX핀은 2번 핀
    리포트 | 22페이지 | 5,000원 | 등록일 2021.05.23 | 수정일 2021.05.27
  • 보안 설비
    지문인식 도어락 잠금보안의 역사Sejong University Presentation 지문인식도어락의 활용 Part - 2 디지털 도어락의 활용 도어폰과 도어락의 일체형으로 도어락이 ... National University Presentation CCTV CCTV 설치 고려 사항 CCTV 종류Chonnam National University Presentation 폐쇄회로텔레비전 ... University Presentation · 1) http://mirror.enha.kr/ 참고 기본구조 모티스 + 손잡이 + 외장 + 콘트롤러 (PCB) 모티스는 잠금장치 대볼트는 문을 LOCK
    리포트 | 24페이지 | 2,000원 | 등록일 2022.12.18 | 수정일 2023.01.05
  • [합격인증] LG전자 합격 자기소개서 + 면접질문
    ‘MCU를 이용한 디지털 도어락’을 설계하며 ‘디지털 공학’에서 배운 ‘Shift Register’와 ‘D-Latch’를 응용해 도어락의 데이터 저장 및 비교 기능을 구현했습니다. ... 전자공학도로서 ‘회로이론’, ‘전자회로’와 같은 전공 기초를 다졌습니다. 또한, 실험 수업을 수강하며 이론으로 배운 회로를 브레드보드에 구성하며 이해했습니다. ... 회로의 동작을 우선순위로 두고, 안정성은 고려하지 않았기 때문에 발생한 문제였습니다. 이를 통해, 지속 가능한 회로를 설계하는 것이 중요함을 느꼈습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.01.05
  • 전지의 연결 방법에 따른 전구의 밝기 비교하기, 6학년 2학기 1단원, 2015개정 초등 과학 지도안
    시계나 도어락에서도 전지의 직렬 연결을 확인할 수 있습니다.[사진 : 시계, 도어락의 전지 연결]Sn, (시계나 도어락의 전지 연결 사진으로 직렬연결을 확인한다.) ... 전기회로를 만들고 전구의 밝기를 관찰하는 실험에 진지하게 참여한다.학습자료교사용동영상(전지의 직렬, 병렬연결), 회로도(전지의 직렬, 병렬연결)사진(리모컨, 시계, 도어락의 전지 연결 ... S, TV 리모컨에 전지 2개를 사용합니다.S, 손전등에 전지 2개를 사용합니다.S, 도어락에 전지 4개를 사용합니다.?동영상(직렬, 병렬에서 전구의 밝기)?
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.18
  • [합격인증] LIG넥스원 HW직무 합격 자기소개서
    현실적인 대안으로 팀원들의 동의를 얻을 수 있었고 회로도 수정을 통해 제출 기한까지 디지털 도어락을 제작할 수 있었습니다.3. ... 회의를 통해 도어락 제작에 필요한 기능을 확인했습니다. ... ‘디지털공학’에서 배운 ‘D-Latch’와 ‘Shift Register’를 적용한 디지털 도어락 설계를 목표로 프로젝트를 진행했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2024.01.01 | 수정일 2024.01.04
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대