• 통큰쿠폰이벤트-통합
  • 통합검색(2,286)
  • 리포트(1,989)
  • 자기소개서(193)
  • 시험자료(58)
  • 방송통신대(40)
  • 논문(4)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로설계" 검색결과 1-20 / 2,286건

  • 디지털 논리회로2 설계과제
    디지털 논리회로2 설계과제 레포트*** 교수님*분반 전자전기공학부321***** ***목차알고리즘 분석 및 시스템 블록 설계ASMD Chart제어기 설계DataPath 설계알고리즘 ... 분석 및 시스템 블록 설계Start = 0 이면 초기상태에서 정지, Start = 1 이면 시스템이 동작한다.피제수(Dividend)를 Z2 , 제수(Divisor)를 A에 저장한다 ... , Load_regs = S_idle•Start, Shift_regs = S_1, Decr_P = S_2 이므로G1, G0는 MUX의 Select 신호로 들어간다.DataPath 설계
    리포트 | 5페이지 | 2,500원 | 등록일 2021.11.17
  • 디지털 회로 응용 - 조합논리 회로설계
    상기 논리회로를 구성하시오.과제 5. 4비트 이진수 중 홀수는 통과하고 짝수는 반전시키는 논리회로를 구성하시오. ... (majority 함수)과제 2. 3비트 이진수가 3보다 작을 때는 출력이 1, 기타는 0이 되는 회로를 구성하시오.과제 3. 3비트의 이진수 입력에 대해 1을 더해서 출력하는 논리회로를 ... 단 111이 입력되면 000으로 표시하시오.과제 4. 3개의 입력 (A,B,C)과 2개의 출력(X,Y)을 가진 조합논리회로가 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2022.12.05
  • (디지털 회로실험)8421 Encoder의 논리회로 설계
    디지털실험설계 01.실험제목 : 8421 Encoder의 논리회로 설계설계과정Encoder의 기능을 익히고, 부호변환 회로설계방법을 익힌다.조건 : 디지털 논리소자를 이용하여 ... 디지털 논리소자를 이용한 회로도를 설계한다.Maxplus Ⅱ 프로그램을 이용해 회로를 구성한다.8421인코더는 논리소자 OR게이트로 구성된다는 것을 알 수 있다. ... 회로에서는 오직 신호의 ON과 OFF만을 갖고 논리를 결정짓기 때문에 2진수의 개념을 도입하면 회로를 통해 2진 연산이 가능해진다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 디지털논리회로 나눗셈기 설계 보고서
    결국 우리는 위와 같은 가정들을 만족하면서 나눗셈기를 설계를 하여야한다. ... 회로 구현의 경우 제수를 오른쪽으로 이동하는 대신 피제수를 왼쪽으로 이동합니다 (후자에 더 많은 레지스터가 필요한지 확인하게끔) 이걸 토대로 블록 다이어 그램은 다음과 같다.또, 나눗셈기의 ... 그러기 위해 결과적으로 다음과 같음을 보인다.나눗셈기 알고리즘에 맞게 설계하기위해서는 다음 그림2를 사용하여 다음과 같이 작업한다. 1.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.05.18
  • 아날로그및디지털회로설계실습 논리함수와게이트
    아날로그 및 디지털회로 설계실습예비 REPORT7. ... (decoder): n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로. ... 및 특성 분석(A) Vcc를 5 V (논리값 1)에서 0 V (논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고, 그 단계적
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 4개의 입력값 중에 1이 단 1개만 있어야하는 반면에 멀티플렉서는 ... I= 로 했을 때, 74139는 EN이 1이 입력되어야 회로가 정상작동하기에 , I가 0일 경우에 S에 따른 번호의 출력값에서 입력값 이 출력 될 것이다., 일 경우엔 에, , 일 ... 경우엔 에, , 일 경우엔 에, , 일 경우엔 에 값 0이 나올 것이다.이 1, I가 1일 경우엔 EN이 0이므로 회로가 작동하지않아 모든 결과값이 1이 나올 것이다.2.3 응용실험
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    2018년 1학기 실험(1): 디지털 논리회로12018년Final Project1목차1. Door-Lock Project 설계 지시사항32. 설계 준비413. 설계 아이디어64. ... 설계 방법85. 전체 회로도96. 전체 설계 평가131. 실험(1) 프로젝트 : Door-Lock 설계지시사항(1) 총 3개의 7-Segment LED가 사용된다. ... 설계 방법Button(1) ButtonDoor-Lock 회로에서는 Reset, Input, Confirm, Complete 총 4개의 Button을 사용한다.
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 디지털 논리 회로 설계 실험 최종프로젝트 레포트 A+ 타이밍게임(회로도있음)
    디지털 논리 회로 설계-타이밍게임_20180619000 교수님000 조교님금요일 2,3교시B000000 000-목차-(1) 설계 아이디어(2) 설계 방법(3) 전체 회로도(4) 평가1 ... 7402를 이용해 SR래치를 만들었다.LED 1에 불이 들어왔을 때 (출력값 1) UP으로 입력되고 LED 7 에 불이 들어왔을 때 (출력값 0) DOWN으로 입력된다.SR래치 회로도 ... 반전만 사용해 두번 눌렀을 때 stage이동이 가능 하도록 설계한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.07.09
  • 아날로그 및 디지털회로설계실습 9 부울대수 및 조합논리회로 과제
    4-bit Adder 회로 설계 과제1. ... XOR gate를 이용한 Full Adder 회로를 Pspice를 사용하여 직접 설계하시오.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.02
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 예비 리포트
    조합논리 회로의 예(7-segmemt/Decoder 회로 설계)요약: 이번 보고서에서는 조합논리회로를 학습했다. 7-segment/Decoder 진리표를 완성했으며 진리표를 통해 Karnaugh과 ... 설계Decoder와 7-segment를 이용한 7-segment 구동 회로설계한다.결론: 이번 보고서에서는 7-segment/Decoder를 통해 조합논리회로를 학습했다. ... 그 후에 Decoder와 7-segment를 이용한 7-segment 구동 회로설계했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • A+ 아날로그및디지털회로설계 실습 예보_논리함수와 게이트
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 아날로그 및 디지털회로설계실습 7주차 논리함수와 게이트 과제
    아날로그 및 디지털 회로설계실습 과제7. ... 논리함수와 게이트NAND 게이트 소자만을 이용하여 XOR게이트의 등가회로를 구성하시오XOR 게이트의 진리표는 다음과 같다.inputOutput000011101110예비보고서에서 구성한 ... 이번 강의자료에 따르면인버터는 로 표현할 수 있고OR 게이트는 로AND 게이트는로 표현가능하다.이에 따라 XOR 게이트를 NAND게이트만으로 표현한다면다음과 같다.42 인코더를 설계하시오인코더의
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 아날로그 및 디지털 회로 설계 실습 결과보고서7 논리함수와 게이트
    아날로그 및 디지털 회로 설계 실습-실습 7 논리함수와 게이트-설계실습 내용 및 분석설계논리게이트 구현 및 동작Low(0) 값, High(1) 값, Vcc를 각각 0V, 5V, ... 특히 이번 실습은 현재 배우고 있는 ASIC 설계 과정과 맞물려 그간의 Digital 회로의 가장 기본적인 게이트의 특성을 이해하는 데 많은 도움을 주었다. ... 마지막으로 Digital의 가장 기본적인 게이트 소자의 특성을 배우고 실습하여 쉬운 실험을 하였지만, 한편으로 가장 중요하고, 기초가 될 수 있는 Digital 회로를 배운 기회라고
    리포트 | 24페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 아날로그 및 디지털회로설계실습 9 부울대수 및 조합논리회로 예비 리포트
    설계실습 9. 부울대수 및 조합논리회로요약: 이번 보고서를 통해 부울대수 및 조합논리회로를 학습했다. ... 연결해주는 것이다.위의 회로들을 이용하여 2Bit 가산기 회로설계하면결론: 이번 보고서를 통해 부울대수 및 조합논리회로를 학습했다. ... 설계한다.XOR gate를 이용하여 보다 간소화된 다단계 조합 논리회로설계한다.S의 식은 XOR gate로 표현하면 A⊕B⊕이고의 식은 XOR gate로 표현하면 (A⊕B)+AB이다
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • 아날로그 및 디지털 회로 설계 실습 결과7-논리함수와 게이트
    요약 : 예비보고서를 통해 설계하였던 논리함수 게이트를 직접 설계해보고 비교해보는 실험이었다. ... 서론 : 디지털 시스템에 있어서 입⋅출력을 두 개의 전압값이나 레벨(level)로 나타내는데, 입⋅출력을 두 개의 전압레벨로 표기할 때 양논리시스템(positive logic system ... 그리고 마지막 2X4 Binary to Thermometer Decoder를 설계하고 정확히 설계되었는지에 대해 LED 동작으로 확인하였다.1.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.03.29
  • 아날로그 및 디지털회로 설계 실습 실습7_논리함수와 게이트_예비보고서
    설계 실습7. 논리함수와 게이트7-1. 목적여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다.7-2.
    리포트 | 4페이지 | 1,000원 | 등록일 2024.08.27
  • 아날로그 및 디지털회로 설계 실습 실습7_논리함수와 게이트_결과보고서
    서론논리회로는 모든 전자회로의 기초라고 할 수 있다. ... 설계실습 결과2.1 설계논리게이트 구현 및 동작(A) Low(0)값, High(1)값, Vcc를 각각 0 V, 5 V, 5 V로 설정한다. ... 따라서 논리게이트들을 조합하여 다른 논리게이트를 만들거나, 하나의 논리게이트로 다른 논리게이트들을 표현하는 설계능력과 리게이트의 동작 특성을 올바르게 이해하는 것이 매우 중요하다.2
    리포트 | 9페이지 | 1,000원 | 등록일 2024.08.27
  • [A+]중앙대 아날로그및디지털회로설계실습 과제 동기순서 논리회로 (Stopwatch 설계) (15주차)
    아날로그 및 디지털 회로설계실습15주차 동기순서 논리회로 (Stopwatch 설계) 과제05분반 20161163 박성은1.- 16진 동기 카운터 회로도- 10진 동기 카운터 회로도-
    리포트 | 2페이지 | 1,000원 | 등록일 2021.10.09
  • 서강대학교 디지털논리회로실험 7주차 - Counter와 State Machine 설계
    우선 동기 직렬 Counter는 FF의 입력이 이전 FF의 입력과 출를 세는 것처럼  개의 상태를 반복적으로, 차례대로 출력하는 순차 논리회로로서, 보통 n개의 flip-flop을 ... 그리고 Mealy/Moore State machine을 분석하고 설계할 수 있는 능력을 기르고, ISE의 bus 구현에 대해 배운다.2. ... T FF, 혹은 D FF나 JK FF를 이용해 1의 입력이 들어올 때마다 FF의 출력이 바뀌도록 하고 비동기 counter를 설계한다면 FF의 출력은 일정한 주기를 가진 신호가 되는데
    리포트 | 32페이지 | 1,500원 | 등록일 2024.08.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대