• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7)
  • 리포트(7)

"디지털놀리회로" 검색결과 1-7 / 7건

  • [결과레포트] 기본 논리게이트(AND, OR, NOT 게이트) 회로실험
    진리표, 놀리회로, 부울 대수를 표현할 수 있는 능력을 배양한다.2 관련이론디지털의 값? ... 실험조건디지털회로구성에 대한 주의사항(1) 회로간의 배선길이를 가능한 짧게 함(2) 색깔 배선을 효과적으로 이용함? ... Experimental Result Report 교과목디지털회로설계담당교수소속경상대학교 공과대학 제어계측공학과학번성명조조조원실험일시2019년 월 일제출일2019년 월 일실험제목1.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.12.10
  • 디지털리회
    디지털 코드중 자보수코드(self-complement code)가 무엇인지를 설명하고 우리가 학습한 코드중 자보수 코드를 모두 조사하여 제시하시오.1.
    리포트 | 4페이지 | 5,000원 | 등록일 2018.07.14
  • 8-세그먼트 디스플레이 구현
    디지털 논리 회로(5.10 연습문제 21번)정보통신공학과아래의 그림은 특별한 8-세그먼트 디스플레이이다.다음 그림에 나타낸 것처럼 0부터 15까지의 숫자를 디스플레이하고 싶다.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.11.03
  • 전기전자통신 정보기술기초 수업지도안
    디지털리회로에 사용되는 논리 게이트를 이해하고 설명할 수 있다.2. 각 게이트를 구별하고 기능에 대해 이해할 수 있다.3. ... 디지털리회로에 사용되는 논리 게이트를 이해하고 설명할 수 있다.3. 불 대수의 기본 정리를 이용한 논리식을 간소화 할 수 있다.4. ... 정보기술 기초 수업 지도안단 원 명논리 게이트일 시2008. 5. 21. (1교시)대 상디지털 전기과 1학년 2반장 소CAD 실습실(본관 3층)지도 교사대 구 서 부 공 업 고 등
    리포트 | 4페이지 | 1,500원 | 등록일 2009.06.20
  • 동기식 카운터 예비보고서
    이해한다.임의의 Mod를 갖는 카운터의 설계방법을 익힌다.기본 이론카운터(Counter)는 계수기 라고도 부르며 계수기란 클럭펄스가 입력될 때마다 수가 하나씩 증가하거나 감소하는 놀리회로로 ... 참고 문헌(1) 이영욱, 『디지털 기본회로의 이해와 설계』, 생능출판사, 1998- p.184 ~ p.196 : 8장. 순서 논리회로 – 4절. 카운터(Counter)6. ... 디지털 로직에서 동기식(Synchronous)와 비동기식(Asynchronous) 로직에 대해 설명하시오비동기식은 입력을 신호로 삼아 회로가 구동되어 입력에 대한 결과를 출력하는 방식이다
    리포트 | 10페이지 | 1,000원 | 등록일 2011.09.16
  • 테라급 반도체
    (NOR) 로직회로 개발 - SET분야 세계 최정상 돌입 ..충북대 최중범 교수팀- 2006.11.16 경제신문 세계최초로 테라비트급 실리콘반도체 논리회로의 핵심기술인 '낸드 및 노어 ... 논리회로 온칩 집적공정기술'이 한국 연구진에 의해 개발되었다 2006.11.16 경제신문1.테라급 반도체세계최초로 개발된 테라급 단전자 로직회로기술은 비메모리 기술로 한국이 특히 ... 삼성전자가 도시바와의 격차를 더욱더 벌릴 수 있는 계기가 될 것으로 예상된다 이 기술은 일본이 주도해온 초고집적공정기술을 추월 할 수 있게 되었으며 2010년 이후 차세대 테라급 디지털
    리포트 | 12페이지 | 1,000원 | 등록일 2006.11.26
  • [컴퓨터교육] 통일시대를 대비한 컴퓨터 교육의 나가야 할 길
    컴퓨터 일반, 자료처리, 전자계산실무, 프로그래밍 실무, 컴퓨터그래픽, 사무자동화일반, 사무자동화 실무, 정보기술기초, 컴퓨터구조, 시스템프로그래밍, 정보통신, 전자·전산응용, 디지털놀리회로
    리포트 | 7페이지 | 1,000원 | 등록일 2003.04.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대