• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,307)
  • 리포트(1,151)
  • 자기소개서(84)
  • 방송통신대(33)
  • 시험자료(29)
  • 논문(6)
  • 서식(1)
  • 표지/속지(1)
  • ppt테마(1)
  • 노하우(1)

"디지털시계 설계" 검색결과 1-20 / 1,307건

  • 디지털 알람 시계 회로도 설계 및 제작
    디지털 알람 시계 회로도 설계 및 제작Contents Conclusion 작동 원리 주요 소요 부품회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time ... TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현 보드구현 보드구현회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현 패턴도 패턴도작품
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    목적1) 디지털 시계 설계2) 학습한 내용을 토대로 디지털 응용회로를 설계, 구현하고 실험을 통해 동작 확인3) 팀 단위의 프로젝트 진행으로 팀원간 역할분담, 팀워크 훈련4) 기판상에 ... 기초회로실험 Ⅱ디지털 시계1. ... 작동원리1) 디지털 시계의 구성에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • [디지털공학개론] 디지털 시계의 회로도 설계와기본형 레지스터 및 IC의 종류
    발진 회로디지털 시계의 회로도 설계에 있어서 발진회로는 일정한 클록을 제공하기 위해서 요구된다. 이를 세팅하기 위해서는 다음의 세 방법이 있다. ... 분주 회로디지털 시계의 기본 단위로 약속된 시간 규격인 초 를 나타내기 위해서는 ‘ ’ 1Hz 주파수가 필요하다 분주회로는 정현파에서 구형파를 얻을 수 있도록 . 60Hz 1Hz 도와주는
    리포트 | 6페이지 | 2,000원 | 등록일 2020.04.05
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    름학 과전자공학과ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계0. ... 요약 (Abstract)이번 학기 프로젝트의 주제는 ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계이다. ... Term ProjectSubject : 기초전자회로 및 실험 2Theme :ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계담당교수학 번 및이
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 전전설2 파이널 프로젝트 디지털시계 (전자전기컴퓨터설계2 실험 파이널 프로젝트)
    디지털 시계 코드`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////// ... ///// Company:// Engineer://// Create Date: 14:08:09 11/22/2016// Design Name:// Module Name: Clock_Digital ... Comments:////////////////////////////////////////////////////////////////////////////////////module Clock_Digital
    리포트 | 73페이지 | 5,000원 | 등록일 2019.11.09
  • 실험4 예비 5_마이크로프로세서 실험 및 설계 실습8. 타이머를 이용한 디지털 시계
    마이크로프로세서 실험 및 설계예비보고서실습8. 타이머를 이용한 디지털 시계#include //확장자가 .h로 끝나는 avr/io라는 헤더파일을 포함하라는 의미의 선언.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • [전자전기컴퓨터설계실험2] Verilog를 이용한 디지털 시계 (알람, 스탑워치, LED 기능 포함)
    //Digital_Clock.vmodule Digital_Clock(RESETN, CLK, LCD_E, LCD_RS, LCD_RW, LCD_DATA, PIEZO, BUS, BUT,
    리포트 | 81페이지 | 5,000원 | 등록일 2020.09.07
  • 팀 프로젝트 디지털시계 설계 및 구현
    시계는 카운터를 이용해 설계할 수 있는대표적인 순차회로의 하나이다.가장 먼저 시간을 계산하기 위해서 시계의 가장 기본적인 단위인 1초를 회로에서 얻을 수 있어야 하는데, 우리는 10진 ... 디지털시계에는 7세그먼트를 여러개 사용하는데 각 세그먼트마다 저항을 일곱개씩 달아줘야해서 조금 번거로웠다. ... 기판 2개를 빈 공간 없이 사용했던 것과 달리 디지털 손목시계는 그 작은 공간에 기판의 내용이 모두 들었다고 생각하니 놀라웠다.
    리포트 | 11페이지 | 2,500원 | 등록일 2017.10.21 | 수정일 2017.12.27
  • 디지털 알람시계 설계 보고서 - 디지털회로설계및언어
    디지털 알람시계 설계 보고서1. 주제 : Digital Alarm Clock2. 기능1. 설계주제- 알람기능을 하는 시계 구현.2. ... 설계과정1) Controller & Data path2) AlgorithmMode1Mode2Mode3Normal OperationMin, Hour, Date, Month Display12월 ... = 0) begin Alarm_CNT = Alarm_CNT - 3'b001; set_PTR = 1; endelse beginclear_PTR = 1; end(5) 일반 시계 작동if
    리포트 | 15페이지 | 3,500원 | 등록일 2017.11.16
  • VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로 ... 이번 실습 시간을 통해 디지털 시계의 원리를 이해하였으며, 시계 작성에도 컴퓨터 코딩이 필요한 것을 알게 되었다. 마지막 실습 까지 도와주신 조교님들 수고하셨습니다.
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 전지전자기초실험 디지털 시계 설계 실습 설계프로젝트 레포트
    전기전자 기초실험 결과보고서제12장 설계 프로젝트 I- 디지털 시계 설계 실습 -학과학년학번분반실험조성명전기전자공학2# 기본 개념아무 입력도 들어오지 않으면 일반 시계 모드에 있으며 ... 초 조절 버튼은 초를 00으로 초기화한다.# verilog code실제로 디지털 시계를 구현할 때에는 조금 더 많은 측면을 고려해야 한다.clk가 1,000,000번 진동할 때마다 ... 별도의 과정을 거쳐야 하고, 11:59:59에서 12:00:00으로 넘어갈 때 오전, 오후를 바꿔 주는 등의 설정을 해주어야 한다.상세한 설명은 주석으로 처리하였다.module digital_clock
    리포트 | 6페이지 | 1,500원 | 등록일 2017.12.01
  • 디지털 시계 설계
    디지털 시계목차1. 개요 2. Display Design 3. 6진 카운터 4. 10진 카운터 5. 12진 카운터 6. 12시간 표시 Decoder 7. 오전/오후 표시기 8. ... , 시계의 특성상 0부터 11이 아닌 1부터 12까지 표시가 가능해야 한다. ... 따라서 10이상의 수는 두 자리로 표현하기 위해서 디코더를 설계해야 한다.
    리포트 | 36페이지 | 5,000원 | 등록일 2010.09.07
  • 디지털 시계 설계
    설계.pptx..PAGE:1디지털 시계 설계2조 나이키조장 : 염규원조원 : 박재웅, 서기원,나태흠, 황재민,박남규, 한진수디지털 공학 텀프로젝트..PAGE:2목차실험 목적디지털 ... 디지털 시계의 구조 및 개요디지털 공학 텀프로젝트..PAGE:72. ... 시계의 구조 및 개요카운터 설계디코더 설계실험 결과실험 후기 및 소감질문 및 응답..PAGE:31.실험 목적디지털 공학 텀프로젝트..PAGE:41.실험 목적1-1.
    리포트 | 25페이지 | 2,000원 | 등록일 2010.09.05
  • 디지털 시계 설계
    * 2차 프로젝트디지털 시계 설계**1. ... 설계 사양 및 기능◎ 입력 주파수 : 100 Hz◎ 입 력 : 4비트 - CLK, SW1, SW2, SET◎ 출 력 : 43비트 - 6개의 LED 출력을 위한 42비트와 추가 구현한
    리포트 | 22페이지 | 2,500원 | 등록일 2010.06.25
  • 디지털 시계 설계
    디지털 시계 기본원리 2. 10 진 상태표 및 논리식 3. 6 진 상태표 및 논리식 4. 3 진 상태표 및 논리식 5. 디지털 시계의 구성 6. 회로 설계도 7. 파형도1. ... 디지털 시계 기본원리J-K 플립플롭으로 구성된 동기식카운터를 이용하여 24시간의 시간을 나타내는 시계를 구성한다. ... 디지털 시계의 구성(3/4)기본구성은 초의 자리 구성과 같다.
    리포트 | 15페이지 | 1,000원 | 등록일 2009.09.16
  • 디지털 시계 설계 발표자료
    디지털 시계 설계목 차1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌*1. ... 서론 및 설계 목표Altera사의 Excalibur칩을 사용한 SoC설계및 검증 도구인 Huins사 SoC Master에 알맞은 시계를 verilog코드 작성에서부터 실제 구현까지 ... 설계 과정2) 회로arm_top.bdf*3. 설계 과정2) 회로pld_slave.bdf*3. 설계 과정2) 회로clock.bdf*3. 설계 과정3) BFM검증(rtl)*3.
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • 디지털 시계 설계 보고서
    SoC 설계(디지털 시계)목차1. 서론2. 설계 목표3. 시계 구조4. 검증5. 고찰6. 참고문헌7. 소스 코드1. ... 이에 가장 많이 사용되는 RISC시스템인 ARM9 Core가 사용된 Altera社의 Excaliber를 이용하는 디지털 시계를 직접 구현해 보았다.2. ... 설계목표Verilog HDL를 이용한 시계코드의 작성부터 포팅을 통한 동작의 확인을 목표로 잡았다.
    리포트 | 19페이지 | 2,000원 | 등록일 2012.05.29
  • VHDL을 이용한 디지털시계설계
    VHDL을 이용한 디지털시계(Digital Watch)① 시간표시 기능(시, 분, 초), 시간수정기능, 스톱워치 기능이 되면 디지털 시계설계② 모드 선택과 시간수정은 버튼을 이용하여 ... 전체적인 설계 소개2. 디지털시계설계 이론2-1. 전체적인 디지털시계 설계2-2. 동기식 Modulo - N 카운터 설계2-3. 시간을 표시하기 위한 디코더 설계2-4. ... 디지털시계설계 이론1) 전체적인 디지털시계 설계◇ 오전/오후, 시, 분, 초, 시간설정기능을 나타내는 디지털시계 설계.◇ 각 카운터마다 1이 증가하는 시점이 다르기 때문에 각 카운터마다enable
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 디지털 시계 설계
    디지털 논리회로)Click to add TitleClick to add TitleClick to add TitleClick to add TitleClick to add Title역할
    리포트 | 15페이지 | 2,000원 | 등록일 2008.12.20
  • VDHL을 이용한 디지털시계 설계 소스코드 (추가 기능 없음)
    Library ieee;Use ieee.std_logic_1164.all;Entity clock isport(clk : in std_logic; -- 20MHz seg_com : buffer std_logic_vector(3 downto 0); seg_data : bu..
    리포트 | 3페이지 | 1,000원 | 등록일 2016.02.07 | 수정일 2016.02.12
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:29 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대