• 통큰쿠폰이벤트-통합
  • 통합검색(12,671)
  • 리포트(11,863)
  • 자기소개서(417)
  • 시험자료(172)
  • 방송통신대(155)
  • 논문(53)
  • 서식(8)
  • 표지/속지(1)
  • ppt테마(1)
  • 노하우(1)

"디지털실험 결과" 검색결과 1-20 / 12,671건

  • 디지털회로실험 카운터 결과보고서
    디지털회로실험-카운터 결과-1. ... 실험과정 5.7의 결과를 확인하고, 이를 사진으로 첨부하시오.이번실험에서는 이진 가감산 카운터를 만들지 않았다.5. ... 예시로는 디지털 시계와 디지털 주파수 카운터가 있다.1. 디지털 시계 : 일상생활에서 흔히 볼 수 있는 디지털 시계는 크게 카운터와 디코더로 이루어졌다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.04.16
  • 전기및디지털회로실험 실험3 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서9실험 고찰10실험실험 3. 부울대수와 논리조합2. ... -실험 8번 문항 결과분석실험 시간의 부족으로 결과를 확인하지 못했다.(9) 예비보고서 9항의 결과실험을 통하여 확인하라. ... 결과보고서(1) 전체 실험결과를 항목별로 정리한다.: 실험 결과를 위 문항들에서 정리하였음.(2) 실험 2항의 결과로부터 관찰한 바를 기술하라.: 정논리와 부논리를 적용시켰을 때의
    리포트 | 10페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험4 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험실험 개요실험 결과-기본적인 오옴 법칙 확인-직렬회로에서 측정-병렬회로에서 측정-직병렬 조합회로의 측정실험 고찰실험실험 ... 실험 결과-기본적인 오옴 법칙 확인(1) 만능 기판을 사용하여 실험회로를 다음 회로와 같이 연결한다. ... 누락-직병렬 조합회로의 측정 결과분석(7), (8)번 문항에서 회로를 기판에 결선하는 과정에서 잘못된 회로를 구현하게 됐으나, 결과값은 최초 예측값과 일치하는 결과를 볼 수 있었다
    리포트 | 14페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험1 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험실험 개요실험 결과-전압측정실험-저항측정실험-만능기판에서의 측정실험 고찰실험실험 1. 기본측정 실험2. ... : 직류 전압과 전류를 측정할 때 극성에 유의하기 위해서이다.(2) 위의 실험 결과실험 과정으로부터 디지털 테스터와 아날로그 태스터의 차이점과 장단점을 느낀바대로 기술하라.: 실험 ... 저항값과 저항에서 소비되는 전력을 계산한다.실험을 누락하여 측정하지 못함.- 만능기판에서의 측정 결과분석실험 누락으로 인해 11번 문항 실험만 진행할 수 있었는데, 예측했던 전압값과
    리포트 | 7페이지 | 1,000원 | 등록일 2023.12.03
  • 전기및디지털회로실험 실험10 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서13실험 고찰14실험실험 10. 직류회로에서의 계산2. ... R3 로는 주어진 1kΩ 의 가변저항을 사용한다. a와 b단자 사이에는 갈바노미터 대용으로 디지털테스터를 접속하고 그 감도를 가장 예민한 상태로 놓는다. ... ------실험 11번 문항 결과분석일부 측정값들에서 예상값과 오차가 큰 경우가 존재했다.
    리포트 | 14페이지 | 1,000원 | 등록일 2024.04.03
  • 전기및디지털회로실험 실험7 결과보고서
    전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서8실험 고찰10실험실험 7. 디코더와 인코더2. 실험 개요3. ... 실험 결과가 예상대로 나타났는가? ... 실험 결과가 예상대로 나타났는가?
    리포트 | 10페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험5 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2실험 고찰14실험실험 5. 직류회로에서의 측정 – 키리히호프의 법칙2. ... 실험 결과(1) 주어진 저항들의 실제 저항을 테스터를 통하여 측정하고 기록한다. ... 그러나 실험 전에 전류값을 구할 때 시뮬레이션에서 전류.98V)-4.64V)-15+11.66V = 0오차율100%0%-실험 12~13번 문항 결과분석이번 회로에서는 실험이전 예상 시뮬레이션에서
    리포트 | 15페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험8 결과보고서
    전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서7실험 고찰8실험실험 8. 숫자표시기와 응용2. ... 실험 개요숫자표시기는 보통 7-세그먼트 표시기라 불린다. 이는 일상적으로 디지털 방식으로 십진수 숫자를 표시하는 데에 널리 사용되고 있다. ... 수행 내용과 결과를 기술하라.: 6번 문항은 실험 지시사항으로 진행하지 않았다.5.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험2 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서10실험 고찰11실험실험 2. 기본 논리게이트2. ... 결과보고서(1) 실험 (1)~(8)에서 측정한 결과를 정리하라.: 실험 결과 문항들에서 정리하였음.(2) 실험 1과 실험 2의 결과로부터 어떠한 관찰과 결론을 얻을 수 있는지 기술하라 ... 디지털 회로에서는 반드시 0 또는 1의 신호가 입력되어야 하는데 둘 중 어떠한 신호도 입력되고 있지 않다면 0의 신호가 아닌 어떤 신호가 입력되고 있는지 알 수 없다.
    리포트 | 12페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험9 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2실험 고찰10실험실험 9. 테브난의 등가회로2. ... 만일 일치하지 않으면 회로와 실험과정을 재검토한다.단자 사이의 전압값단자 사이의 전류값측정결과예상값1.93V8.63 mA측정값1.9V8.39 mA오차율---실험 16번 문항 결과분석예상값과 ... ----------실험 9번 문항 결과분석가변저항을 조절함으로써 전압과 전류값이 변화함을 확인할 수 있었다.(10) 9항에서 측정한 결과를 그래프 용지에 작성하고 예비보고서에서의 결과
    리포트 | 10페이지 | 1,000원 | 등록일 2024.04.03
  • 전기및디지털회로실험 실험6 결과보고서
    전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서13실험 고찰14실험실험 6. 논리조합회로의 설계2. ... 결과보고서(1) 실험의 (1)~(3)항에 대하여 실험 결과를 정리한다.: 1번 문항에서 신호가 1일 경우에는 led의 점등세기가 강했고, 신호가 0일 경우에는 led의 점등세기가 상대적으로 ... 실험 결과* 실험 2에서와 같이 실험과정은 스위치를 사용하고 출력은 트랜지스터에 의해 구동되는 led로 표시되도록 한다.* 실험 지시사항으로 4번, 8번문항은 진행하지 않았으며 모든
    리포트 | 15페이지 | 2,500원 | 등록일 2024.03.12
  • 디지털 논리 회로 실험 NOT 결과 보고서
    디지털 논리 회로 실험 결과 보고서실험 1. 기본 논리 게이트◎ 실험 1-1. ... 원래는 Vo값을 일정하게 증가시키면서 측정했어야했는데 혼자 실험을 해서 그런지 약간의 오차가 생겨서 결과값이 조금 차이가 났던것같습니다. ... 입력 A의 상태를 표와 같이 변화시키면서 출력 F의 상태를기록하여라.- 실험 결과 : 입력값이 0이면 출력값은 1이나왔고, 입력값이 1이면 출력값은 0이 되었다.AF01 (5.071mV
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31
  • 디지털 논리 회로 실험 XOR 결과 보고서
    디지털 논리 회로 실험 결과 보고서 (3차)실험 3. ... 실험과 같았기 때문에 결과 값을 측정할 때 오차가 발생하지 않아서 올바르게 결과 값을 얻을 수 있었습니다.3-4실험에서 새로 알게 된 것이 있는데 입력이 2개일 때는 입력 값이 두 ... 비해 금방 회로를 구성하고 이 실험 역시 오차가 생기지 않고 원하는 결과 값을 측정 할 수 있었습니다.마지막 네 번째 실험인 3-4 실험에서도 역시 입력 값이 하나 더 늘은 3-3
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31
  • 디지털회로실험 시프트 레지스터 결과보고서
    참고문헌디지털 논리회로 개정3판 임석구, 홍경호 지음.https://www.google.co.kr/search? ... 클록펄스의 경우, 1Hz, 5V, offset을 2.5V로 주어 실험하였다.그 결과, 링 카운터의 경우, 클록이 0-15까지 변하는 동안, 각각Q _{A},Q _{B},Q _{C}, ... 실험과정 5.1의 결과를 다음의 표에 작성하시오.CLKQ _{A}Q _{B}Q _{C}Q _{D}*************1030011410015110060110700118100191100100110110011121001131100140110150011CLKQ
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.16
  • 디지털회로실험 가산기 결과보고서
    실험결과보고서실험제목실험2. 가산기학과전자공학과학년2학번조성명1. 실험과정 5.1의 결과를 다음의 표에 작성하라. ... 실험과정 5.2의 결과를 다음의 표에 작성하라. ... led는 빛이 계속 나지 않는 게 맞고, 사진 상으로 나온 결과도 이론처럼 나온 것을 알 수 있습니다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.04.16
  • 디지털회로실험 산술논리연산회로 결과
    디지털회로실험-산술논리연산회로 결과-학과 : 전자공학과1. ... 결과1.1 실험과정 5.2의 결과를 다음 표에 작성하시오.기능 선택가산기의 Y입력입력출력출력 (V)사진s1s0Cin00001100F=A110000101100F=A+111011.2 실험과정 ... 5.3의 결과를 나타내시오.1번 실험에서 두 가지 경우(F=A,`F=A+1)만 봤는데 이 경우 C의 값은 모두 0이었다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.04.16
  • 전기및디지털회로실험 실험 M2 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서9실험 고찰13실험실험 M2. 아날로그 및 디지털 기초 회로 응용2. ... 실험 고찰이번 실험에서는 디지털 입출력, 아날로그 입출력 스케치 코드를 작성하고 이에 맞는 회로결선을 구성하여 그 결과를 확인했다. ... 결과보고서- 실험 결과(1) 실험에서 작성한 각 프로그램의 작성, 실행, 디버깅 과정을 순서대로 자세히 기술한다.- 키르히호프의 전압법칙 및 전류법칙void setup() {Serial.begin
    리포트 | 14페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험 M3 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서3실험 고찰7실험실험 M3. 숫자표시기 응용2. ... 결과보고서- 실험 결과(1) 실험에서 작성한 각 프로그램의 작성, 실행 및 디버깅 과정을 순서대로 자세히 기술한다.- 숫자표시기 + 아날로그 회로예비보고서 단계에서 작성했던 스케치와 ... 디지털 출력 핀을 선정한 후 숫자표시기 1개를 구동할 수 있는 회로를 구성한다.(3) 입력된 전압 값을 소수점 첫째 자리에서 반올림한 후, 그 숫자를 숫자표시기에 표시하는 프로그램을
    리포트 | 7페이지 | 1,000원 | 등록일 2024.03.12
  • 디지털회로실험 플리플롭 결과보고서
    실험과정 5.1의 결과를 다음의 표에 작성하시오.R-S 플립플롭동작상황동작상태SRQ{bar{Q}}초기 상태안정00S에 1을 인가한 후 다시 0으로 만듦안정1000R에 1을 인가한 후 ... 실험과정 5.2의 결과를 다음의 표에 작성하시오.R-S 플립플롭(클록펄스)Q _{i}SRQ _{i+1}(V)*************11허용 안됨100110101101111허용 안됨CLKQ ... 실험과정 5.3의 결과를 다음의 표에 작성하시오.D 플립플롭Q _{i}DQ _{i+1}000011100111CLKQ _{i}DQ _{i+1}{bar{Q _{i+1}}}1000 11011
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.16
  • 디지털 공학 실험 논리 회로의 간소화 결과레포트
    결과 레포트디지털 공학 실험논리 회로의 간소화무효 BCD-코드 감지기 진리표에 대한 Karnaugh 맵● 실험 결과입력출력D C B AX0 0 0 000 0 0 100 0 1 000 ... 논리 회로의 간소화 실험을 했다. 113페이지의 실험디지털 공학 시간에 배운 BCD 코드에 관련된 내용이여서 이해가 쉬웠다. 1010 이상의 수는 무효하기 때문에 출력값이 1이 ... 이번 실험을 하면서 사소한 것이라도 놓치면 실험이 아예 진행될 수 없다는 것을 깨닫게 되었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.05.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:57 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대