• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,932)
  • 리포트(2,679)
  • 자기소개서(219)
  • 논문(15)
  • 시험자료(9)
  • 방송통신대(3)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"디지털회로실험및설계" 검색결과 1-20 / 2,932건

  • 전기및디지털회로실험 설계제안서(배터리잔량테스터)
    부품 구매 및 기능설계 부품구매 기능설계 3. 1 차 제작 회로도 작성 아두이노 스케치 작성 만능기판 결선과 아두이노 연동 4. 2 차 제작 + 최종제작 오류 분석 및 피드백 2 차 ... 재수정 및 피드백 회로 납땜 5. ... 배터리 잔량 테스터 표시계 1목차 연구배경 자료조사 개념설계 평가방법 예산 일정 및 계획 21. 연구배경 32. 자료조사 42. 자료조사 52.
    ppt테마 | 12페이지 | 2,000원 | 등록일 2024.04.09
  • 디지털 회로 실험설계 - Encoder, Decoder 실험 2
    디지털회로실험및설계 결과 보고서 #8( Encoder, Decoder 실험 )과 목담당교수제 출 일학 번이 름1. 회로도2. ... 실험결과 및 이론분석실험 (1) 1.회로의 초기값에 대하여 알아보고 그 이유를 설명하시오.2. 74LS148의 입력에 따라 FND의 출력을 확인하고, 그 이유에 대하여 설명하시오.※ ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - Encoder, Decoder 실험 1
    디지털회로실험및설계 예비 보고서 #8( Encoder, Decoder 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과실험 1) Encoder 74LS148의 동작 이해※ 실험 1 회로도 ※※ 실험 1 초깃값 ※※ 실험1 초깃값 이유- 실험1의 회로도에서 초깃값은 ... 인코더는 여러 개의 입력 중에서 신호(1 또는 0)가 주어진 입력의 정보를 코드로 변환하는 디지털 회로이며, 디코더는 반대로 인코더가 만든 코드를 원래의 정보로 복원하는 디지털 회로이다
    리포트 | 12페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - ADC(Analog to Digital Converter) 실험 1
    디지털회로실험및설계 예비 보고서 #7( ADC(Analog-to-Digital Converter) 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과실험 1) ADC 회로도를 구성하시오.※ 멀티심 회로도 ※실험 2) Input 전압값을 기록하고, LED로 출력된 값을 2진수, 10진수로 표현하시오 ... 컴퓨터를 비롯한 전자기기에서 아날로그 신호를 다루려면 디지털 신호로 바꾸는 AD 변환(Analog to Digital Conversion) 작업이 필요하다.?
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - ADC(Analog to Digital Converter) 실험 2
    디지털회로실험및설계 결과 보고서 #7( ADC(Analog-to-Digital Converter) 실험 )과 목담당교수제 출 일학 번이 름1. 회로도2. ... 실험결과 및 이론분석1) (1) R2=R3=100K (2) R2=100K, R3=200K 일 때 각각 Input 전압값을 기록하고, ADC0890의 출력값을 참고하여 2진수, 10진수 ... 실험 결과 분석- 실험 결과는 아날로그 신호를 디지털 신호로 바꿔주는 ADC0809를 통하여 이론값과 매우 유사하게 잘 나왔다.2) Vin=3V일 때, Vref+ = 3V와 5V 했을
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 전기및디지털회로실험 최종설계(배터리잔량테스터)
    상세 설계 상세설계 블록도 설계 과정 방전 데이터 수집 코드 블록도 회로도 작성 결선 동작확인 및 납땜 3상세설계 블록도 4 각 전압분배회로에 건전지 삽입 인코더 NOT 디코더 NOT ... 배터리 잔량 테스터 표시계 12 #1 #2 #3 #4 상세설계 - 상세설계 블록도 설계 과정 방전 데이터 수집 코드 블록도 회로도 작성 결선 동작확인 및 납땜 결과시연 - 완성품 영상촬영 ... 작성 9 전압분배회로에 건전지 삽입 아두이노 디지털 출력핀으로 신호출력 7 세그먼트 74147 인코더 7404 7447 디코더 LED BAR 7404결선 동작확인 및 납땜 10 브레드보드
    리포트 | 22페이지 | 2,000원 | 등록일 2024.04.09
  • 디지털 회로 실험설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    디지털회로실험및설계 예비 보고서 #4( Multiplexer, DeMultiplexer 실험 / JK Flip-Flop을 이용한 순차회로 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과실험1) 4-to-1 MUX를 구성하고, S1과 S0의 입력신호에 따른 출력 Y를 작성하시오.?회로도? 시뮬레이션 결과? ... 실험목표① 멀티플렉서의 회로 구성과 동작을 실험한다.② 디멀티플렉서의 회로 구성과 동작을 실험한다.③ JK F.F를 이용하여 동기/비동기 카운터를 실험하고 이해한다.2.
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 회로 실험설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 2
    디지털회로실험및설계 결과 보고서 #4( Multiplexer, DeMultiplexer 실험 / JK F.F을 이용한 순차회로 실험 )과 목담당교수제 출 일학 번이 름? ... 결과분석- 이 회로는 시간이 없어서 실패했는데, 이론을 바탕으로 결과 예측 및 분석을 해보자면, 위 회로는 JK F.F을 활용한 비동기 카운터로서, 클럭에 NOT게이트가 있어 상향 ... 결과분석- 회로의 모든 플립플롭에 클록 펄스를 동시에 인가하는 동기 카운터로 설계회로이다.- 클록에 NOT 게이트가 있으므로 상향 카운터라고 볼 수 있고, 그러므로 각각 2분주,
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • (기초회로디지털실험) 비 안정 멀티바이브레이터의 설계
    실험조건에 만족하는 비 안정 멀티바이브레이터를 설계했기 때문에 설계 실험은 성공적이라 볼 수 있다. ... 고찰이번 설계 실험은 동작 주파수 50~150KHz, 30~70% duty cycle의 비 안정 멀티바이브레이터를 설계하는 것이다. ... 설계실험을 통하여 전에 실험했던 내용을 다시 상기시키게 해주어 비 안정 멀티바이브레이터, 듀티 사이클, 동작 주파수에 대한 개념을 확실하게 이해할 수 있었고, 비 안정 멀티바이브레이터의
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 디지털 논리회로 실험설계 4주차 예비보고서
    디지털 논리실험설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 4개의 입력값 중에 1이 단 1개만 있어야하는 반면에 멀티플렉서는 ... 경우엔 에, , 일 경우엔 에, , 일 경우엔 에 값 0이 나올 것이다.이 1, I가 1일 경우엔 EN이 0이므로 회로가 작동하지않아 모든 결과값이 1이 나올 것이다.2.3 응용실험 ... I= 로 했을 때, 74139는 EN이 1이 입력되어야 회로가 정상작동하기에 , I가 0일 경우에 S에 따른 번호의 출력값에서 입력값 이 출력 될 것이다., 일 경우엔 에, , 일
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 디지털 회로 실험설계 - NE555 Timer 발진회로 응용 실험 2
    디지털회로실험및설계 결과 보고서 #9( NE555 Timer 발진회로 실험 )과 목담당교수제 출 일학 번이 름1. 회로도2. ... 실험결과 및 이론분석실험 (1) NE555 Timer 발진회로의 이해1) R1 = 10kΩ , R2 = 10kΩ 일때 출력주파수, 듀티비를 측정하시오.? ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 4페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - NE555 Timer 발진회로 응용 실험 1
    디지털회로실험및설계 예비 보고서 #9( NE555 응용 실험 )과 목담당교수제 출 일학 번이 름1. ... 실험목표① NE555를 이용한 회로를 구성해본다.② NE555를 응용한 회로의 동작을 실험해본다.2. 관련이론? ... NE555의 핀번호 및 내부 회로도? 불안정 모드- 발진기로 작동한다.
    리포트 | 9페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - JK Flip Flop, D, T Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #3( JK Flip-Flop 실험, D, T Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도, 이론값, 실험결과, 결과분석실험1) 다음 회로를 구성하고, 표를 완성하시오.- 이론값JKQQ'0*************101101- 실험결과J=0, K=1, Q'=1 J=0 ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • (기초회로디지털실험) 16진 동기 및 비동기 카운터 설계
    구분실 험 제 목설계316진 동기 및 비동기 카운터실험 조건TTL IC(SN7400, SN7476, SN7490)를 이용하여 구현한다.설계 과정비동기 및 동기식 카운터의 구조와 동작원리를 ... 동작 검증을 위한 실험 방법 및 절차를 만든다. ... 따라서 이러한 결과를 보았을 때 회로 설계 및 구성이 잘 되었고 값 또한 만족스러운 것 같다.5.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 디지털 회로 실험설계 - JK Flip Flop, D, T Flip Flop 실험 1
    디지털회로실험및설계 예비 보고서 #3( JK Flip-Flop 실험, D, T Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과? ... D 플립플롭- 플립플롭(Flip Flop)은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 회로 실험설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험및설계 결과 보고서 #1( 기본 논리 Gate 및 TTL, CMOS I/F 실험 )과 목담당교수제 출 일학 번이 름1. ... 회로도, 이론값, 실험결과실험 1) 전압 Level 측정실험 : 입력전압 변화에 따른 출력전압의 상태를 측정하고 기록하시오.이론값)입력전압0.0V0.5V1.0V1.5V2.0V2.5V3.0V3.5V4.0V4.5V5.0V출력전압4.4V4.4V3V0V0V0V0V0V0V0V0V논리레벨HHLLLLLLLLL실험결과 ... NOT을 이용한 XOR 설계이론값)ABX000011101110실험결과)A=0, B=0 A=0, B=1A=1, B=0 A=1, B=1ABX출력전압0000.2V0114.5V1014.5V1100.3V결과분석
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 회로 실험설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    디지털회로실험및설계 결과 보고서 #6( 74LS192를 이용한 Up/Down Counter 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도, 이론값, 실험결과, 결과분석실험1) 회로도? ... 업 카운터0 1 23 4 56 7 89실험 4) 회로도 (채터링 방지 회로도)?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - 74LS47 Driver를 이용한 7-Segment 실험 2
    디지털회로실험및설계 결과 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도 및 멀티심? 실험 사진실험 3)? 회로도 및 멀티심? 실험 사진※ 결과분석? ... 회로도, 이론값, 실험결과, 결과분석실험1)?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    디지털회로실험및설계 예비 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과실험1) Display의 기본 소자인 LED 구동방식과 디지털소자에 대한 이해실험절차 1) Sink, Source 구동방식의 위 회로 2개를 구성한다 ... 회로실험한다.2.
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    디지털회로실험및설계 예비 보고서 #6( 74LS192를 이용한 Up/Down Counter 실험 )과 목담당교수제 출 일학 번이 름1. ... Multisim 시뮬레이션 회로도 및 결과실험 1)시뮬레이션 결과- 아무것도 나타나지 않는다.- 74LS47의 4번 핀과 5번 핀이 VCC에 연결되어 있지 않고, 독립적으로 연결되어 ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 74LS192의 구조를 살펴보고, 동작을 실험한다.③ 74LS74의 구조를 살펴보고, 동작을 실험한다.2.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:46 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기