• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,082)
  • 리포트(976)
  • 자기소개서(56)
  • 시험자료(26)
  • 방송통신대(17)
  • 논문(4)
  • 서식(3)

"디지털 시계 제작" 검색결과 1-20 / 1,082건

  • 디지털 시계 회로 제작 보고서
    전기전자 기초실험 및 설계Term Project 보고서디지털 시계 회로 제작1. 실험 주제디지털 논리 회로를 이용한 디지털 시계 제작2. 실험 원리그림 1. ... 디지털 시계 회로그림 1은 우리 조가 설계한 디지털 시계의 회로도이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 및 분주회로와, ? ... 다시 말해, 가변저항을 적절히 변화시켜서 디지털 시계의 시간을그림 3.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • 디지털 알람 시계 회로도 설계 및 제작
    디지털 알람 시계 회로도 설계 및 제작Contents Conclusion 작동 원리 주요 소요 부품회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time ... TIME TABLE 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현 주제선정 회로 분석 부품 구입 및 제작 오류 수정 및 보안 최종 보고서
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • 디지털 시계 제작 정리
    21디지털 시계 제작디지털 시계 제작요 약본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계를 10진 카운터, 6진 카운터, 24진 카운터를 이용하여 손쉽게 설계해본다 ... 그러므로 그런 문제점들을 보완한 디지털 시계제작해보고 동작 시험을 수행한다.Ⅱ. 관계 이론1) SN74LS90N그림 1. SN74LS90N그림 2. ... 오차를 줄이고 편안함을 가져다 준 아날로그와 디지털의 기초가 확립된 것은 액정표시방식의 시계 미국 “해밀턴”사에서 개발한 쿼츠 디지털 시계이다.
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 디지털공학실습 : 디지털 시계 제작
    동작원리 설명커패시터의 전압충전과 저항을 통한 방전을 반복하여 발진 주파수를 결정하는데, 회로에서 사용한 가변저항으로 초의 빠르기를 조절하여 시계의 시간을 조정할 수 있다. ... 저항 100K 외에 가변저항을 이용하여 초의 빠르기를 조절할 수 있도록 하였다.(2) 분주회로시계의 초 단위를 나타내기 위하여 CMOS 4020 소자를 사용하였다. 1초를 나타내기
    리포트 | 5페이지 | 1,000원 | 등록일 2015.11.25
  • 8051 디지털시계 제작
    8051 디지털시계 제작- 실험 결과보고서 -Ⅰ. 디지털시계의 개요ⅰ. 개요A. 디지털 vs 아날로그- 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 제작하게 하였는데, 이 시계는 높이가 3 m나 되며 현존하는 시계 중에서 가장 오래 된 기계시계이다. ... 정보통신 공학분야의 산물이다.- digital디지트(digit)는 사람의 손가락이나 동물의 발가락이라는 의미에서 유래한 말이다.
    리포트 | 20페이지 | 3,000원 | 등록일 2012.07.03
  • 디지털 시계 제작(디지털공학 실습 과제)
    실험 목적(1) TTL IC를 사용한 디지틀 시계를 설계한다.(2) 설계된 시스템을 제작한다.(3) 제작된 시스템을 측정하고 설계한 회로와 비교 검증한다.2. ... 디지털 시계 설계1. ... 우리는 캐패시터값을 우선적으로 정하고 저항값을 선택하여 디지털시계의 1초에 해당하는 주파수를 구한다.2) 카운터 회로디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는
    리포트 | 12페이지 | 2,500원 | 등록일 2013.01.18
  • <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. ... ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... (1) Timer/counter를 이용한 ‘1초’ 카운트디지털 시계는 1 Sec 단위로 카운팅되면서 시간이 표시된다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • Maxplus를 활용하여 디지털시계제작
    디지털 논리 설계< 설계 주제 >Counter를 활용한 디지털 시계- 설계 목표 : Counter를 이해하고 Counter를 활용한Counter시계(디지털시계)를 설계해 보자.- 설계 ... 요구사항 : T-F/F의 작동특성T-F/F의 Symbol 생성법시계의 초, 분, 시를 카운터를 활용하여 표현하는 방법Max plus tool 작동법< 설계 순서 >① 시계의 초, ... 이것을 다시 12진 카운터와 연결하면 11시 59분 까지수를 세는 시계를 만들 수 있다.주의 - 처음 카운터 형성 시 카운터의 Reset에 output단자를 만들지않아도 작동하지만
    리포트 | 14페이지 | 3,000원 | 등록일 2010.12.14
  • [디지털시계] ★디지털시계 제작★목적,부품★회로구현방법★
    Digital Clock 제작 -1--2- 1. ... 제작디지털 시계 ◊ 오실레이터 1MHz 를 이용한 안정한 클럭 생성 ◊ 6 개의 세그먼트로 시 , 분 , 초 표현 ◊ 12 시 이후의 AM, PM 설정 ◊ 요일 표시 설정 가능 ... 제작 목적 ◊ 기본 IC 소자 및 제작에 필요한 소자들 이해한다 . ◊ 지금까지 학습한 내용을 이해하여 직접 회로구현 및 제작을 한다 . ◊ 회로의 문제점을 파악하고 , 이를 해결한다
    리포트 | 23페이지 | 1,500원 | 등록일 2011.12.18
  • TTL 디지털시계 제작
    DIGITAL 공학(디지털시계) 보고서학과: 기계시스템공학과팀원: 0696116 홍 성준0696108 김 동환목차1.디지털시계의 개요1. 개요.2. 목적.3. ... 시, 오전/오후 회로도.5.디지털시계 제작 시 유의점1. 납땜 시 유의점.2. 회로도 분석 시 유의점.6.결론1.디지털시계의 개요.1. 개요. ... 제작.2.디지털시계 제작 회로도1. 전체 회로도.2. 회로도 분석.3.주요 부품1. TTL IC.2. 다이오드3. LED.4. 저항.5.
    리포트 | 20페이지 | 2,500원 | 등록일 2009.02.05
  • 디지털시계제작 프로젝트
    시계를 만드시오.1Hz의 입력과 전원 및 GND만 연결하여 동작하는 회로를 설계하여 제작한다.∴ 채점기준1. ... 오실레이터에서 나오는 고주파 신호를 1Hz로 만들고 이를 입력으로 사용한다.3. 1~12시 기준으로 시계의 숫자가 동작하도록 설계 한다.♨ 프로젝트 목적1) 디지털 논리 설계에 대한 ... 프로젝트 내용♨ 프로젝트 목적♨ 관련 이론♨ 사용 부품♨ 설계도 & 시뮬레이션♨ 진행 일정♨ 프로젝트 진행간 애로사항♨ 프로젝트 내용위의 그림과 같이 시, 분, 초 6자리로 나누어진 디지털
    리포트 | 15페이지 | 5,000원 | 등록일 2008.09.19
  • 맥스플러스(maxplus)를 이용하여 디지털 시계 제작
    디지털 시계 설계? ... 시계 구현, 컴파일러 및 시뮬레이터 확인※ 디지털시계를 설계하기 전 기본적인 사용법 익히기◎ AND게이트 구현 및 시뮬레이션AND게이트 구현compiler 실행waveform 확인 ... 고찰1.설계 목적○ 카운터를 이용하여 10진 카운터, 6진 카운터, 12진 카운터를 설계한다.○ 설계한 카운터를 심볼화 하여 최종적인 디지털 시계를 설계한다.○ MAXPLUS2의 회로
    리포트 | 19페이지 | 2,000원 | 등록일 2011.06.20
  • (디지털 공학 과목) 디지털 시계 제작 PPT파일
    디지털 전자 시계지도 교수님 : 김상곤 교수 03161394 김 지 훈 03161662 조 장 원 03161684 최 양 현4조 term project목 차디지털 시계 개요 블록 다이어그램 ... 디지털 시계 개요일정한 Clock 발생된 Clock을 나눔 나눠진 신호를 초, 분, 시 단위로 카운트 각 단위 별로 7 segment 에 출력 시 단위를 이용 오전/오후10M Hz1
    리포트 | 31페이지 | 2,000원 | 등록일 2010.08.25
  • VHDL이용, Digital Clock(디지털 시계)및 부가기능(타이머, 알람, 세계시간등)제작
    , LED 세레모니등의 기능을 추가하여 더욱 다채로운 시계제작하였다.- 위의 사진은 기본기능/ 부가기능에 해당하는 버튼/버스 스위치의 위치를 나타낸 것인데, 자세히 표를 이용해 ... 구현 할 때 필요한 조건들이 if문으로 나열되어있ut인 스위치를 받아 시/분/초를 조절할 수 있도록 one-shot enable code를 제작해준 모습이다. ... 전자전기컴퓨터설계실험2프로젝트 최종보고서제출일자 : 2013.12.09.일실험주제: DIGITAL CLOCK 설계1. Introduction (실험에 대한 소개)가.
    리포트 | 22페이지 | 5,000원 | 등록일 2014.02.14 | 수정일 2021.08.25
  • 디지털 시계 제작 보고서
    (2) 조원간의 화합 및 단결 3명의 조원이 하나의 프로젝트를 단결하여 직접 제작 하면서 눈으로만 봐오던 디지털시계의 기본 구성을 알 수 있었으며, 각자 맡은 역할이 단합되어 ... 개발 방법 (1) 회로도 및 블럭도 최초 우리 조에서 만들고자 했던 디지털 시계의 블록도 및 회로도이다.제작을 진행하면서, 10MHz 오실레이터(크리스탈칩) 부분의 이해와 ... 제작 목적 (1) 강의 내용의 이해 한 학기 동안 배운 「디지털 공학 및 실습」을 통하여 완성된 팀 프로젝트로써 최종 확인하며 점검한다.
    리포트 | 10페이지 | 4,000원 | 등록일 2007.08.20
  • VHDL을 이용한 디지털 시계 제작
    디지털 시스템 설계※ PROJECT 최종 보고서 - Digital 시계 설계※ 목 차 ※1. 개 요(1) 설계목적(2) 계발일정2. ... 따라서 제작한 후 설계 일정에 따라 Quartus II 프로그램을 이용하여 디지털 시계제작한다.- 공학인으로서 요구되어지는 프로젝트 설계 능력 향상에 중점을 둔다.(2) 계발 ... 일정< 디지털 시계 설계 일정표 >날 짜9 월10 월11 월12 월내 용CYCLONEPLD 보드제작보드 디버깅Quartus II 이용프로그래밍소프트웨어 디버깅최종 보고서 작성2 .
    리포트 | 19페이지 | 5,500원 | 등록일 2007.04.02
  • AM/PM 기능이 가능한 디지털 시계 제작 회로도
    디지털 시계에서 구현한 기능1) 32768Hz의 발진회로를 이용하여 digital 시계제작.2) 시간, 분, AM/PM을 display.3) 시간이 12가 될 때마다 AM/PM ... AM/PM 디지털 시계 제작종류기능개수7447common anode 7seg LED driver, BCD to 7 Decoder4bit 신호를 10진 신호로 복호화하여 수치표시기로 ... 132768Hz C.×1LTS 542numeric display with socket수치표시기54.7K OhmSwitch회로 구현에 사용8680 Ohm수치표시기(LED) 구현에 사용30만능기판디지털
    리포트 | 2페이지 | 2,000원 | 등록일 2009.05.21
  • [마이크로 프로세서]8051 디지털 시계 제작
    8051 디지털 시계 제작? ... 제작후기이번에 만들어본 LCD 시계는 정말 고생하고 힘든 만큼 보람도 있는 작업인거 같다. ... 시계 Display 구상? 스위치를 이용한 LCD 제어*스위치1: 우로 움직이는 기능→(AM/PM)시간:분:초 설정가능*스위치2: up 기능→분,시간을 맞출 수 있다?
    리포트 | 13페이지 | 1,000원 | 등록일 2006.04.10
  • [마이크로 프로세서]ATmega8515 디지털 시계 제작
    ATmega8515 디지털 시계 제작? ... 시계 제작/ 타이머를 이용하여 1초 펄스를 발생시킨다.// 외부 인터럽트 요구 신호를 이용하여 시간을 조정한다.// - KEY0(INT0) : 시간 조정 자리수 이동// -> 자릿수에 ... **************************************************************// 파일명 : clock.c// 설 명 : 시간을 조정할 수 있는 디지털
    리포트 | 10페이지 | 1,500원 | 등록일 2006.05.31
  • 논리회로 설계 및 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 및 구현
    논리회로 설계 및 구현프로젝트 보고서디지털 시계 제작논리회로 설계 및 구현프로젝트 보고서프로젝트명 : 디지털 시계 제작을 통한논리회로 설계와 구현과 목논리회로교수님조 원제출일2010년 ... 설계회로도1) 전체 회로도그림2 디지털 시계 블록도2) 회로 상세 분석a. 1Hz clock 발생회로기준 신호 클록 발생회로는 디지털시계의 정확도에 매우 큰 영향을 주게 된다. ... )27그림 18-1 참고회로128그림 18-2 참고회로229논리회로 디지털 시계 설계 및 구현0.
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 05일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:54 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기