• 통큰쿠폰이벤트-통합
  • 통합검색(686)
  • 리포트(667)
  • 시험자료(12)
  • 자기소개서(3)
  • 논문(2)
  • 방송통신대(2)

"디코더 (decoder)" 검색결과 1-20 / 686건

  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 예비
    5장, 인코더, 디코더, 멀티플렉서, 디멀티플렉서 예비보고서1. 목적가. 인코더와 디코더의 기능 및 그 구성 방법을 익힌다.나. ... 만일 제어신호 E가 ‘L'일 경우에는 회로가 디코더로 동작하지 않게 되고, E가 ’H'일 경우에는 디코더로 동작하게 된다. ... 디코더‘복호기’라고도 부르는 디코더는 최대 2ⁿ개의 서로 다른 정보 중에서 n비트의 이진 입력 코드에 대응하는 하나의 출력을 선택하는 조합회로이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 결과
    5장, 인코더, 디코더, 멀티플렉서, 디멀티플렉서 결과보고서◈ 실험 결과 및 검토가. ... ☞ 진리표디코더는 2진 데이터의 입력이므로 입력이 3개이면 2^3개인 000, 001, 010, 011, 100, 101, 110, 111까지 총 8개의 출력의 경우를 가질 수 있다 ... 디코더의 출력을 확인하여 다음의 진리표를 완성하고 설명하라.☞ 브레드보드에 회로를 구성하고 입력에 따른 출력값을 보여주는 사진들.입력출력ABCY7Y6Y5Y4Y3Y2Y1Y0LLLHHHHHHHLLLHHHHHHHLHLHLHHHHHLHHLHHHHHHLHHHHLLHHHLHHHHHLHHHLHHHHHHHLHLHHHHHHHHHLHHHHHHH
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 디코더 DECODER
    디코더의 원리를 이해하고, 실험을 통하여 동작을 검증함.관 련 이 론1. : 디코더는 인코더와 정반대 기능을 수행하며, n비트의 2진 코드 입력에 의해 최대개의 출력이 나오므로 가능한 ... 디코더는 인코더가 한 일 암호화 또는 컴퓨터가 인식할 수 있는 것들을 해독해서 사람이 읽을 수 있게 바꾸는 해독기 역할을 한다.디코더의 진리표는 아래와 같다.X Y0 00 11 01 ... 10 0 0 10 0 1 00 1 0 01 0 0 0이 진리표를 가지고 2x4 디코더를 위한 논리 회로는 아래와 같이 작성 할 수 있다.2x4 디코더를 위한 블록도는 아래와 같다.2x4뿐만아니라
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.20
  • [디지털공학] 멀티플렉서(Multiplexer), 디코더(decoder), 인코더(encoder), 돈케어
    디코더/디멀티플렉서 회로들은 함께 연결되어 좀 더 큰 디코더 회로를 형성할 수 있다.디코더디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 ... Digital engineering1디지털 공학[멀티플렉서(Multiplexer), 디코더(decoder), 인코더(encoder), 돈케어]담당교수홍길동학번이름홍길동제출일자2019 ... 디코더와 디멀티플렉서 연산들은 동일한 회로에서 얻어지기 때문에 인에이블 입력을 가지고 있는 디코더디코더나 디멀티플렉서로 간주할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.28 | 수정일 2019.04.01
  • VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    bit에 패리티비트를 추가하는 Encoder의 설계, 인코딩 되어진 12자리 비트에 에러를 의도적으로 추가하여 시뮬레이션 했을 때 자체적으로 에러를 정정하여 원래의 비트로 되돌려주는 Decoder
    리포트 | 4페이지 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • 3-8 decoder verilog code (+test bench code), 3to8 decoder. 3-8 디코더
    module TTL74137 (G1, G2n, GLn, A, B, C, Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7); input G1, G2n, GLn, A, B, C; output Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7; reg Y0..
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.13
  • xilinx를 이용한 디코더(Decoder)와 인코더(Encoder)설계
    관련 기술 및 이론디코더(Decoder) 코드 형식의 2진 정보를 다른 코드 형식으로 바꾸는 회로가 디코더(Decoder)이다. ... 6주차 과제디코더(Decoder)와 인코더(Encoder)설계1. ... 진리표는 아래와 같이 되며, 진리표를 살펴보면 출력은 한 비트만이 1로 되어 있음을 볼 수 있다.2X4 디코더 회로2X4 디코더의 진리표ABD3D2D1D02X4 Decoder2X4
    리포트 | 19페이지 | 1,500원 | 등록일 2010.06.24
  • [VHDL]디코더(decoder)와 인코더(encoder)
    2. 8x3 인코더-behavior modeling소스 코드Library ieee;use ieee.std_logic_1164.all;entity encoder_bh is port(en : in std_logic; d : in std_logic_vect..
    리포트 | 6페이지 | 5,000원 | 등록일 2007.06.26 | 수정일 2017.11.21
  • convolutional encoder와 viterbi decoder 구현 with C(컨볼루셔널 엔코더와 비터비 디코더를 C로 구현)
    대한 정보는 아래 나타나 있고 엔코더와 디코더에 대한 코드는 압축파일로 압축이 되어 있습니다.구현언어는 C언어이고 코드상에 간단한 주석을 달아놓았습니다. ... 본 자료는 디지털 통신에 있어 필수적이고 가장 중요한 convolutional encoder와 그에 따른 viterbi decoder를 구현한 것입니다. convolutional encoder에
    리포트 | 1페이지 | 2,000원 | 등록일 2014.01.14
  • 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    설계② Binary-to-BCD Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Converter, BCD-to-7segment를 ... to-8 decoder, Binary to BCD converter, 그리고 BCD to 7segment decoder를 설계하고 FPGA 보드에 연결하여 7-segment를 작동해보았다 ... 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2014이름 :학번 :실험제목기본적인Combinational Circuit① Decoder
    리포트 | 7페이지 | 1,500원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • Max+ 7 세그먼트 디코더 ( 7 Segment decoder) 설계
    ieee;use ieee.std_logic_1164.all;--===============================================[Library]entity ud_decoder4 ... Ain : in std_logic_vector(3 downto 0); Dout : out std_logic_vector(9 downto 0));end ud_decoder4 ... _10Vector;--===============================================[Define Entity]architecture sample of ud_decoder4
    리포트 | 5페이지 | 1,000원 | 등록일 2007.03.29
  • 디코더의 LT, BI/RBO, BRI 핀기능의 분석과 활용 {{LT,BI/BRO,BRI의 기능, 74LS47 분석, DECODER, 74LS47 활용, 74LS48의 활용}
    '디코더의 LT, BI/RBO, BRI 핀기능의 분석과 활용' 본문 내용 中 발췌1.
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.09 | 수정일 2017.04.12
  • 해밍코드 인코더 디코더 설계 및 성능 분석(hamming code encoder decoder performance analysis)
    프로젝트----------------------------------------------------------------------해밍코드 인코더/디코더 설계 및 성능 분석이름교수님 ... 성함Hamming code encoder/decoder design and performance analysis영문이름교수님영문 성함AbstractHamming code is a ... 수신측에서 BPSK Demodulation과 Hamming decoder를 거쳐 신호를 복원하고 Error Rate Calculation을 통하여 BER 값을 측정한다.3장. 2절.
    리포트 | 24페이지 | 100,000원 | 등록일 2009.12.06 | 수정일 2015.01.12
  • [전기전가](디지털논리회로실험)인코더, 디코더 (Encoder, Decoder) 결과 보고서
    인코더, 디코더 (Encoder, Decoder) 결과1. ... b1)XYZ = 3'b010;else if(D[1] == 1'b1)XYZ = 3'b001;else if(D[0] == 1'b1)XYZ = 3'b000;endendmodule다음으로 디코더를 ... X'Y'Z'D1 = X'Y'ZD2 = X'YZ'D3 = X'YZD4 = XY'Z'D5 = XY'ZD6 = XYZ'D7 = XYZ위의 코드에서 입력값과 출력값을 바꾸면 된다.module decoder
    리포트 | 10페이지 | 1,000원 | 등록일 2007.08.14
  • [디지털회로실험] 디코더와 인코더(Decoder and Encoder)
    디코더와 인코더(Decoder and Encoder)1.실험이론(1)디코더(Decoder)디코더란 2진 부호와 같은 BCD 코드를 부호가 없는 형태로 바꾸는 변환회로를 말한다. ... BCD to 7-Segment Decoder의 동작상태를 검토하고 특히 7447 Decoder와 LTS 542 Common Anode 접속형 수치표시기의 접속관계를 검토하여 실험 ... TTL 7421(4-input AND)TTL 7425(4-input NOR) TTL 7432(quad 2-input OR gate)TTL 7447(BCD to 7-Segment decoder
    리포트 | 6페이지 | 1,000원 | 등록일 2001.10.30
  • [전자회로] Pspice (Decoder&Encoder) 실험 레포트
    따라서 디코더의 input이 인코더의 output이 되고, 인코더의 input이 디코더의 output의 값을 가지게 된다. ... 원리◆ Decoder- 이진수로 표시된 입력 코드를 어떠한 상태 또는 명령을 나타내는가를 해독하는 장치.- 다음 회로는 2선-4선 decoder 회로로서 2진수 입력 A와 B의 4가지 ... (decoder의 반대 기능)- 다음 회로는 4개의 입력을 이진수 출력으로 변환하는 회로이다.2. GATE3. 결과4. 고찰?
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.30
  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력의 ... 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment decoder
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • [논리회로실험] Decoder & Encoder 예비보고서
    실험이론1) Decoding- n비트의 2진코드를2 ^{n}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로- 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력 ... Decoder & Encoder1. 실험목적1) 디코딩과 인코딩의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 1}D _{0}0000*************000100100000010001100001000100000100001010010000011001000000111100000002x4 Decoder
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    이를 통해 디코더는 여러 개의 인버터(NOT 게이트)와 AND 게이트로 구성되어 있음을 알 수 있다.(4to 10 decoder 그림참조) 어떤 디코더들은2 ^{n}개보다 적은 수의 ... 또 비밀 대화 장치(스크램블러)를 사용한 전화 등에서 다른 형식으로 변형시켜 보내온 음성 신호를 원래의 형태로 되돌리는 것도 디코더이다.’4to 10 decoder디코더와는 반대로 ... 이러한 이유로 BCD코드를 8421코드라고도 부른다.(5) BCD to 7 segment Decoder세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 디지털시스템실험 3주차 예비보고서
    이 알고리듬이 Shift and Add-3 알고리듬이다.실험방법■ 라인 디코더 구현① 2-to-4 Line Decoder 구현1. 2-to-4 Line Decoder를 위한 진리표를 ... Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Convertor 설계한다.기본지식① Decoder디지털 시스템에서 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목기본적인Combinational Circuit① Decoder 설계② Binary-to-BCD
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대