• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(161)
  • 리포트(142)
  • 시험자료(15)
  • 자기소개서(2)
  • 방송통신대(2)

"멀티플렉서와 부호기" 검색결과 1-20 / 161건

  • [컴퓨터공학기초설계및실험1 예비레포트] 복호기와 부호기 / 멀티플렉서와멀티플렉서
    컴퓨터 공학 기초 설계 및 실험1예비보고서실험제목:복호기와 부호기(예비)멀티플렉서와멀티플렉서(예비)예비보고서제목 및 목적제목복호기와 부호기(decoder and encoder)목적복호기와 ... 부호기의 간단한 예로는 4-to-2 라인 부호기가 있다. ... 부호변환기의 동작을 살펴보고 이해하는데 목적을 둔다.원리(배경지식)복호기(decoder)복호기는 이진부호(binary code), BCD부호(binary-coded-decimal code
    리포트 | 7페이지 | 1,500원 | 등록일 2015.04.12
  • 멀티플렉서_예보&결보&부호기_실험사진
    제목 : 복호기 및 부호기2. 목적 : 복호기와 부호기의 동작원리 및 특성을 확인하고 부호 변환기의 동작을 살펴본다.3.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.10.04
  • 결과 리포트 - 비동기계수회로, 동기계수회로, 복호기와 부호기, 멀티플렉서와 디멀티 플렉서
    복호기와 부호기실험 16 . 멀티플렉서와멀티플렉서실험 11. ... 복호기와 부호기그림 5입 력출 력ABL3L1L2L000000+50+500+50+500+500+5+5+5000그림그림실험 16. ... 멀티플렉서와멀티플렉서입 력출 력ES1S2I0I1I2I30XX0000+500+5000+50+50+500+5+5000+50+5+5+5000+5*실험 결과 분석실험 11 - 이 실험은
    리포트 | 8페이지 | 1,500원 | 등록일 2007.06.16
  • 홍익대 디지털논리실험및설계 4주차 예비보고서 A+
    부호기는 이진수를 사람이 읽을 수 있는 형태의 문자로 해석해주는 단자로, 2^n개의 입력값을 받고 n개의 출력값 중 하나에만 유의미한 숫자를 출력한다.- 멀티플렉서는 데이터 선택기 ... 실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.- 멀티플렉서는 n개의 입력값을 받고 그 중 하나의 값만 선택하여 출력하는 단자이다. ... 라고도 불리며 선택된 입력값을 그대로 출력하지만, 부호기는 입력값을 이진수로 부호화하여 출력한다는 차이가 있다.1.2 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 디지털 논리실험 4주차 예비보고서
    1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오. 멀티플렉서는 복수의 입력 값 중 하나를 선택하여 출력 값으로 내보내는 회로 이다. ... 반면에 부호기(encoder)는 2^n개의 정보를 입력으로 받아 n개의 출력 값을 가지는 회로이다. ... 멀티플렉서의 경우는 S0, S1, EN의 위치를, 디코더의 경 우는 EN의 위치를 추가적으로 알 수 있다. 1.3 4-to-1 Multiplexer 74153의 EN에 대해 설명하시오
    리포트 | 3페이지 | 2,000원 | 등록일 2023.04.11
  • 홍익대학교 디지털논리실험및설계 4주차 예비보고서 A+
    1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 사람이 이해할 수 있는 형태의 비트 조합들을 입력으로 주고 그것을 어떤 특정 비 트 조합들과 ... 각각 mapping 시켜서 부호화하는 것이고, 멀티플렉서는 데이터 선택기라고도 불리는데 여러 디지털 입력 데이터 중에서 어떤 데이터를 출력할 것인지 데이터 선택 입력 의 조합을 통해서
    리포트 | 5페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 4개의 입력값 중에 1이 단 1개만 있어야하는 반면에 멀티플렉서는 ... 부호기는 출력값이 입력값()에 대한 그 비트값()이지만, 멀티플렉서는 그 비트값()의 입력값()이 출력값()이다.1.2 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer ... 그러므로 , , 를 통해 8개의 입력값 중 1개의 값을 선택하는8-to-1 멀티플렉서를 구현 할 수 있다.1.6 응용 실험 (2)가 4-to-1 멀티플렉서로 동작하는 원리를 자세히
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 디지털 논리회로 실험 4주차 Multiplexer 예비보고서
    실험 준비1) 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.: 멀티플렉서는 n개의 선택(SELECT)을 통해 2n개의 데이터(INPUT) 중에 하나(OUTPUT)를 선택하는 ... 회로이고 부호기는 반대로 2n개의 입력(INPUT)을 가지고 n개의 출력값(OUTPUT)을 가지는 회로이다.2) 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer ... 멀티플렉서의 크기는 입력선과 출력선의 개수에 의해 결정되며 또 멀티플렉서는 n개의 선택을 가지고 있다. 멀티플렉서는 약자로 MUX라고 표현한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22 | 수정일 2022.04.17
  • 예비보고서(5) 부호기복호기
    관련이론고정기능 조합논리회로에는 가산기, 비교기, 디코더, 인코더, 코드 변환기, 멀티플렉서, 디멀티플렉서 및 패리티 생성기/검사기 등 여러 종류의 고정기능 조합논리회로가 있다. ... 이 장에서는 조합논리회로의 기본적인 예가 되는 복호기와 부호기의 동작 원리 및 특성을 확인하고 부호 변환기의 동작을 살펴본다.2. ... 입력이 결합하여 나타낼 수 있는 4가지 출력을 도출해 본 것이다.B=0, A=0B=0, A=1B=1, A=0B=1, A=1(2) 부호기(Encoder)부호기는 본질적으로 복호기의 반대
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14
  • 예비보고서(7 가산기)
    관련이론디코더, 인코더, 코드 변환기, 멀티플렉서, 디멀티플렉서 및 패리티 생성기/검사기 등 여러 종류의 고정기능 조합논리회로 중에서 지난 실험에서는 멀티플렉서에 대해서 했으며, 이번 ... 이 때 사용하는 감산법으로는 1의 보수에 의한 방법, 2의 보수에 의한 방법, 부호의 크기에 의한 방법 등이 있다.(7) 이진 곱셈계산과 승산기이진 곱셈계산은 덧셈과 자리이동의 동작을 ... 실험제목 :가산기- 예비보고서1. 목적이진 덧셈, 뺄셈 및 곱셈계산의 원리를 이해하고, 이를 구현하는 가산기, 감산기 및 승산기의 동작을 확인한다.2.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 홍익대_디지털논리회로실험_4주차 예비보고서_A+
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.멀티플렉서는 여러가지의 입력 가운데 하나를 골라 그대로 출력하지만 부호기는 ... 입력 신호에 대응하는 출력 단자의 조합에 맞춰 출력한다.멀티플렉서는 선택하는 것이지만 부호기는 변환하는 것이라 볼 수 있다.1.2 4-to-1 Multiplexer 74153, 2 ... 이는 디멀티플렉서의 기능이라 볼 수 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 인코더와 디코더 회로 예비
    실험 제목인코더와 디코더 회로실험 목적Encoder와 Decoder의 기능을 익힌다.부호변환 회로의 설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.실험 ... 세그먼트의 입력이 된다.또한 2진수를 10진수로 변환해주기 때문에 회로르 설계하는 입장에서는 디코더라 칭할 수가 있지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.멀티플렉서와 ... 이론(원리)상태 혹은 명령들을 그에 대응하는 2진 정보로 변환하는 회로를 encoder(부호기)라고 하며, 반대로 주어진 2진 정보가 어떠한 상태 또는 명령으로 나타내는 회로를 decoder
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
  • 조합논리회로와 순서논리회로의 종류 및 특징(회로) 조사
    -조합 논리회로 종류조합 논리회로의 기본이 되는 가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서, 감산기 등을 알아보자1)가산기: 한 비트 2진수(A,B)와 한 자리 아래의 ... 부호 없는 두 이진수(A,B)를 비교하여 작은지 (LT=1),같은지(EQ=1),큰지(GT=1)판별한다.3)인코더와 디코더간단하게 말해서 인코더는 각 문자 또는 기호등을 컴퓨터가 알아볼 ... 디멀티플렉서멀티플렉서는 여러 개의 입력 중 하나만을 출력하는 빌딩블록을 말하고, 디멀티플렉서멀티플렉서와 반대로 하나의 입력을 여러 개의 출력 선 중에 하나를 골라서 출력하는 빌딩블록을
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.20
  • 정보처리기사요약(2.전자계산기구조)
    (반가산기, 전가산기, 디코더, 엔코더, 멀티플렉서, 디멀티플렉서)2) 순서논리회로(Sequential logic circuit)― 회로의 출력 값이 내부상태와 입력에 따라 정해지는 ... z3) 반 감산기(Half Subtracter)― 2진수 1자리 뺄셈기.4) 멀티플렉서(Multiplexer : MUX)― 2n 개의 입력선 중에서 하나를 선택하여 출력 선으로 전달하는 ... (AND gate로 구성)7) 엔코더(부호기 : Encoder)― 어떤 특정한 장치에서 사용되는 정보를 다른 곳으로 전송하기 위해 일정한 규칙에 따라 암호로 변환하는 장치로, 2n개의
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    감산기),멀티플렉서, 디멀티플렉서,디코터(해독기) 및 인코더(부호기)RAM, 레지스터, 카운터(계수기),기타 상태 보존 시스템[표3] 조합논리회로와 순서논리회로의 비교(4) 기본플립플롭회로플립플롭회로에서 ... 본론(1) 집적회로(IC)의 개념(정의)집적회로(IC)는 트랜지스터, 저항기 및 커패시터와 같은 구성 요소를 포함한 개념으로 단일 반도체 재료에 통합된 소형 전자 회로를 의미한다. ... 전압 조정기, 센서 등 분야에 활용디지털IC- 컴퓨터 등에서 사용되는 이산 신화 처리하도록 설계- 마이크로프로세서, 메모리 칩, 논리 게이트 등 분야에 활용혼합신호IC- 아날로그,
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • 충북대(전기) 인천대(메카) 한기대(전자) 공주대(전자) 2024학년도 편입 면접 후기및 전공 요약본
    ㆍ인코더, 디코더, mux, demux 인코더(부호기): 코드를 만드는 회로로, 어떤 정보를 포함하고 있는 여러 개의 입력 신호중 단 하나의 활성화된 입력을 표현하기 위해 암호화하여 ... 디멀티플렉서(분배기): 하나의 입력을 통해서 2n승개의(여러개의) 출력중 하나의 출력을 선택해서 연결 시켜주는 회로이다. ... (여러 입력 중 하나를 제어신호에 따라 출력단과 단락시킴)(디 멀티플렉서는 이와 반대대는 역할을 수행한다.)
    자기소개서 | 16페이지 | 5,000원 | 등록일 2024.02.06 | 수정일 2024.06.21
  • AD 변환기의 특징과 AD 인터럽트 사용을 위한 초기화 과정 및 사용시 유의사항에 대해 설명
    포트 F를 통해 입력되고 멀티플렉서에 의해서 A/D 변환기에 연결되며 A/D 변환기의 8채널 아날로그에 입력된다. ... A/D 변환기는 아날로그 신호 진폭을 이산적 주기로 추출해 부호로 표기된 디지털 신호로 변환한다. ... 이러한 부호로 변환하는 회로는 엔코더라고 부른다. 이러한 A/D 변환기와 A/D 인터럽트와 관련된 내용을 정리해보고자 한다.Ⅱ. 본론1.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.25
  • [방송통신대학교] 디지털논리회로 출석수업대체과제물
    부호가 붙는 값이기 때문에,-부호를 표현하려면 먼저 1101111(2)의 2의 보수를 구하고 맨앞의 숫자를 1로 바꿔주면 된다.1101111(2)의 2의 보수는 0010001(2)이고 ... Gate)나 단위기억소자인 플립플롭(flip-flop)과 같은 논리소자를 만들기 위한 단계이다.두 번째로 논리 설계(logic design) 단계는 논리소자들을 연결시키는 단계로 가산기, ... 입력단을 연결하면 MUX를 이용한 부울함수 구현이 이루어진다.4X1MUXI00I1AI2F1S0S1I3ABC(그림 5-3, 멀티플렉서에 의한 구현)10.
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
  • 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    부호기로서 부호화 작업을 수행한다.4*2 인코더의 회로와 진리표- 인코더와 디코더의 관계 ... 실험목적-멀티플렉서를 이용하여 비교기와 패리티 발생기를 설계하고 그 회로를 시험한다.-2*N 입력의 진리표를 수행하기 위해 N입력 멀티플렉서를 이용한다.2. ... 실험 9장 멀티플렉서를 이용한 조합논리1.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 예비
    인코더‘부호기’라고도 부르는 인코더는 디코더의 반대 기능을 수행하는 회로이다. 2ⁿ개 또는 이보다 적은 개수의 신호를 입력받아 그에 상응하는 n개의 출력신호를 만든다. ... 멀티플렉서멀티플렉서는 여러 개의 입력데이터 중에서 하나를 선택하여 출력으로 내보내는 논리회로이며 데이터 선택기라고도 한다. ... 멀티플렉서와멀티플렉서의 구조와 동작 원리를 이해하고 이들 회로에 대한 응용 예를 배운다.2. 이론가.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:54 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대