• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,327)
  • 리포트(5,409)
  • 자기소개서(637)
  • 시험자료(133)
  • 논문(80)
  • 방송통신대(41)
  • 서식(17)
  • 이력서(5)
  • ppt테마(3)
  • 기업보고서(1)
  • 노하우(1)

"모터제어" 검색결과 1-20 / 6,327건

  • 퍼지제어기를 이용한 DC 서보 모터의 속도 제어
    한국수산해양기술학회(구 한국어업기술학회) 강근택, 김영택
    논문 | 5페이지 | 4,000원 | 등록일 2023.04.05
  • 가변구조를 제어기를 이용한 서보 모터의 위치제어
    한국수산해양기술학회(구 한국어업기술학회) 양주호, 김성환
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • DC Servo Motor의 최단시간 위치 제어
    한국수산해양기술학회(구 한국어업기술학회) 양주호
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • 모터제어 레포트 (제어용기기)
    2019학년도 제어용기기 레포트애니매트로닉스에 사용되는 모터(서보모터 & 스테핑 모터)Motors used for animatronics(Servo motor & Stepping motor ... 서보 모터33.1 서보 모터란?33.2 DC서보 모터와 AC서보 모터33.3 서보 모터 제어54. 스테핑 모터74.1 스테핑 모터란? ... 위치제어는 서보모터를 일정한 속도로 회전 정지시켜야한다.2) 토크제어토크제어는 현재 서보모터에서 인가되는 전류 명령이라 보며 모터의 토크는 전류에 비례해 서보 모터에 인가되는 전류를
    리포트 | 17페이지 | 2,000원 | 등록일 2021.11.11
  • 모터제어_보고서
    : Control system(제어 시스템), DC motor(직류모터), Steady state error(정상 상태 오차), Gain(이득값), Function(함수), Closed-Loop ... Dankook University Mechanical Engineering모터제어Dankook University Mechanical Engineering모터제어모터제어Key Words ... Feedback Control System(폐루프 궤환 제어시스템),초록: 속도 제어 시스템에서 직류 모터를 사용하는 방법에 대해서 고안을 해보고자 실험을 진행을 하게 되었다.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.12.24
  • 직류전동기 제어기법을 적용한 3상 BLDC 모터 제어에 관한 연구
    해양환경안전학회 김진만, 남택근
    논문 | 8페이지 | 4,000원 | 등록일 2023.12.04
  • 2자유도 적분형 최적제어법을 이용한 BLDC 모터의 속도제어
    한국수산해양기술학회(구 한국어업기술학회) 권혁진, 정석권
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • [보고서]모터제어와 DQ좌표
    모터제어와 DQ좌표계서론모터제어 학문에서는 3상 좌표계 대신에 dq좌표계를 사용한다. ... 나머지 step도 동일한 원리에 의해서 회전하는 것이다.모터제어에서 dq좌표계를 사용하는 이유모터 제어에서 교류전동기를 제어할 때 3상 좌표계에서 dq좌표계로 변환하여 분석하는 경우가 ... 좌표계 변환을 위해서는 모터제어하는 동안 엔코더나 레졸버 같은 장치를 통하여 모터의 회전자 위치를 위식 속 θ에 실시간으로 대입하여 계산하면 된다.2) 이처럼 계속해서 회전자
    리포트 | 7페이지 | 3,000원 | 등록일 2023.04.23
  • H-infinity제어기 DC모터 제어
    PXI 컨트롤러 / PXIe-3985→ 컴퓨터 CPU 역할을 하며 제어 성능을 결정 (Microsoft OS / Real-time OS 포함) 모듈에서 받아온 데이터들을 ... CPU에 의해 제어 → 입력 채널 – 8 differential / 16 single ended 출력 채널 – 2채널 (1채널-900kS/s , 2채널-840kS/s)→ 분해능
    리포트 | 47페이지 | 1,000원 | 등록일 2021.05.21
  • 모터제어 기말고사 요약본
    Range는 기동 시 따라갈 수 있는 속도 범위PPSStepping motor의 회전 속도Holding 토크정지 시 정격전류를 인가했을 때 외력을 모터 축에서 버티고 있는 토크Detent ... 정지시킬 수 있는 여자 방식가감속 제어모터의 토크 특성을 고려하여 가감속 제어를 해야 한다.1. ... 외부 센서에 대한 이동제어위치 제어 속도 제어 작동 제어리니어 액츄에이터의 위치 제어를 위해서모터의 사용(스텝각도, 1회전 당 입력 펄스 수, 마이크로 스탭 level)S = N/T
    리포트 | 13페이지 | 1,000원 | 등록일 2022.06.05
  • DC모터의 위치 제어기 설계
    서론1) 설계주제DC 모터의 위치 제어기 설계2) 설계 목적servo motor의 gain과 작동 시간 등의 효율을 높이기 위해 제어기를 추가 설계하고 모터의 생산성과 내구성을 비교하여 ... 생산성과 내구성 : 1) 가능한 빠른 시간 내에 목표치(스텝 입력)에 도달하도록 해야 한다. 2) 모터를 가능한 오래 사용할 수 있는 제어기를 설계해야 한다. ... 경제적 제한조건 : 모터에 인가되는 증폭기의 용량을 가능한 적게 하여 비용을 낮게 한다. (K값을 가능한 적게) b.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.09
  • DC 모터의 위치 제어기 설계
    설계목적1) 설계주제DC 모터의 위치 제어기 설계2) Servo motor 전달함수서보모터는 서보기구의 조작부로서 최종 제어요소에서 입력신호에 응답해 조작부의 기계적 부하를 구동하는 ... 인가하는 전압OMEGA ` _{o} : 모터의 속도theta ` _{o} : 모터의 위치a _{m} = 300 ,b _{m} = 40Servo motor의 전달함수 :G(s)``` ... (K값을 가능한 적게)(2) 생산성과 내구성 : 가능한 빠른 시간 내에 목표치(스텝 입력)에 도달하도록 해야 한다.모터를 가능한 오래 사용할 수 있는 제어기를 설계해야 한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2021.06.07
  • [기계공학]모터제어 실험 결과레포트
    실험 제목 및 인적 사항(1) 실험 제목모터 제어 실험2. 실험결과 1(1) DC 모터 모델링 검증 실험① 수학식으로부터 예측된 계산된 관성모멘트 값은? ... 이로인해 측정된 각속도와 이론상 각속도 사이에 차이가 발생할 수 있습니다.(2) DC 모터 위치 제어 실험① [비례제어기/P제어기]k _{d}는 1.0 (V*s/rad),k _{i} ... 구체적으로 DC Motor, Load Hub, Disk Load의 관성모멘트입니다.
    리포트 | 13페이지 | 2,000원 | 등록일 2024.06.21
  • [명지대]모터 제어 결과레포트
    모터 제어 결과 : 이땡땡 교수님[1] 결과 정리 및 분석1. ... 실험을 통해 얻은 값 중 3° 부근에 정상상태 오차가 발생한 실험은 비례게인 0.3일 때며, 이 때 유독 심한 진동이 발생했었다. 3°에 너무 가깝게 제어모터 때문에 심한 진동을 ... 오차를 줄여주는 적분 제어이 없어도 제어가 나쁘지 않았다.
    리포트 | 3페이지 | 3,000원 | 등록일 2020.12.12 | 수정일 2021.04.16
  • 9. PLC 및 모터제어 실험
    PLC 및 모터제어 실험학번:소속: 기계공학부성명:실험일자: 2018.11.21제출일자: 2018.11.26담당조교실험조원1. ... 실험내용본 실험에서는 PLC의 동작이해를 위한 입출력점 간의 결선을 통한 시스템 동작의 확인, 래드다이어그램 작성과 실행을 통한 스테핑 모터제어 및 선형운동 모듈의 이송을 제어하는 ... 그리고 솔레노이드 밸브, 파일럿 램프, 모터 가동기, 포지션 스위치 같으 ㄴ제어장치들은 출력 인터페이스 터미널에 연결된다.
    리포트 | 13페이지 | 1,000원 | 등록일 2019.09.15
  • DC모터의 위치 제어기 설계(제어시스템공학설계)
    제어기는 제어기보다 가격적인 측면 에서 비싸다고 판단하였기에 제어기가 제일 적합하다고 생각한다. ... 처음 최대한 증폭기의 용량을 적게 그리고 비용을 적게 하려고  제어기로만 사 용했으나 settling time인 1/30sec보다 작게하는 조건에 맞지 않았다.  제어기는 오버슈트가
    리포트 | 7페이지 | 2,000원 | 등록일 2023.05.07 | 수정일 2023.10.23
  • 제어계측공학과 졸업작품 『BLDC모터를 이용한 태양광 자동차』.
    BLDC모터 제어26Ⅳ. 개발 내용 (실험 결과)1. Gate Driver설계결과282. Boost Converter 출력전압제어 결과283. BLDC모터 제어 결과29Ⅴ. ... (e) DC - BLDC 모터 비교(f) 0rpm,INVERTER의 경우는 100~2400rpm의 속도제어범위를 갖고 있지만 BLDC MOTOR는 100~3000rpm (일부 제품은 ... 제어계측공학과 졸업작품결 과 보 고 서BLDC모터를 이용한 태양광 자동차목 차Ⅰ. 작품 개요 (연구 개요)3Ⅱ. 관련 이론1. BLDC모터42.
    논문 | 32페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 직류모터 PID 제어 시뮬링크
    8.고찰이번 과제는 저번 과제인 R-L회로에서 직류 모터를 추가한 회로에 대한 PID 제어를 사용하여 시뮬레이션을 하는 과제이다. ... 직류모터는 전류관련 전기적 특성과 각속도관련 기계적 특성을 가진다는 것을 알게되었다 그래서 회로를 두가지로 나눠서 모델링을 해야된다는 것을 배웠다. ... 원래는 계산을 통해 목표치로 정확하게 가고 목표치에 가는 과정을 구해서 제어를 했는데 이번에는 PID 제어기와 파라미터 값이 주어져서 PID 제어기에서 P, I, D를 바꾸어주면 제어
    리포트 | 6페이지 | 2,000원 | 등록일 2023.05.06
  • 마이크로프로세스 동작제어 소스 - 모터동작제어
    #include float D=0.1;int msec=0;void main(void){ DDRD=0x00; DDRE=0x03; TCCR0=0x04; TIMSK=0x03; SREG=0x80; TCNT0=0x06; while(1) ..
    리포트 | 2페이지 | 1,500원 | 등록일 2020.03.16
  • 제어시스템 설계 : DC모터의 위치 제어기 설계
    설계 A목적 :DC모터의 위치 제어기 설계Root locus method 제한조건1) 증폭기의 용량 즉 K값을 가능한 적게 하여 비용을 줄인다.2) 가능한 빠른 시간내에 목표치에 도달하도록 ... (over shoot적게)Matlab app을 이용하여 PD제어기를 통한 PID제어기 튜닝.Response time은 빠를수록 좋으나 빠르면 빨라질수록 k값이 증가하여 제어기의 ... 일때에,Settling time=0.323초기조건 Ts
    리포트 | 5페이지 | 1,000원 | 등록일 2019.12.02 | 수정일 2019.12.05
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대