• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(509)
  • 리포트(466)
  • 시험자료(35)
  • 자기소개서(6)
  • 방송통신대(2)

"병렬가산기" 검색결과 1-20 / 509건

  • 병렬가산기 설계 결과보고서
    병렬가산기 설계1. ... 실험 목표4비트짜리 병렬 가산기에서 더 심화된 형태인 10비트짜리 병렬 가산기의 작동 원리를 이해하고, 이를 동작적/구조적 모델링, schematic 방법으로 작성한다. ... 고찰이번 실험은 10bit 병렬가산기를 만드는 실험이었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로실험 병렬 가산기 설계
    병렬 가산기 설계1. ... 병렬가산기를 동작적 모델링과 자료 흐름 모델링, 구조적 모델링(Schematic 방법)으로 작성하시오.(1) 병렬 가산기의 구조적 모델링(스키메틱)1) 소스 코드병렬가산기의 논리기호 ... 전가산기를 먼저 작성하여 병렬 가산기의 전가산기 논리기호를 사용 가능하게 하였고, 그를 이용하여 8bit 가산기를 만들었다.2) 테스트 벤치 코드?
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 1비트 가산기를 이용한 8비트 병렬 가감산기
    1비트 가산기를 이용한 8비트 병렬 가감산기1. 1비트 가산기1) Schematicfulladderabcinscout2) Karnaugh Mapsabcin*************11010s ... 8개를 병렬로 연결하여 8비트를 구성하였다.- 2의 보수 계산을 위해 b값은 mode와 xor연산을 통하였고, 최하위 가산기의 cin에 mode를 넣어줌으로써 1의 보수에 1을 더해 ... 2의 보수를 취하는 방식을 구현하였다.- 하위 가산기에서 나오는 cout은 wire로 상위 가산기의 cin에 연결했다.- mode가 0이면 가산, 1이면 감산을 수행한다.2) Karnaugh
    리포트 | 4페이지 | 1,000원 | 등록일 2024.07.14
  • 4비트 병렬 가감산기, BCD 가산
    병렬가감산기전가산기들을 병렬로 연결하여 여러 비트의 가산기를 만들 수 있으며 이것을 병렬가산기라 한다. ... 반가산기, 전가산기의 동작을 이해하고 설계하는 방법을 알아본다.? 이를 바탕으로 병렬 가?감산기를 설계하고 동작 특성을 이해한다.? ... 반가산기와 전가산기반가산기는 두 개의 2진수 한자리를 입력하여 합(sum : S)과 캐리(carry : C)를 구하는 덧셈회로이다.
    리포트 | 7페이지 | 2,500원 | 등록일 2015.12.10
  • 병렬 가산기 설계 예비보고서
    실험 목표 - VHDL 라이브러리의 기본적인 문법을 이해하고, 전가산기를 이용하여 병렬가산기를 설계한다.2. ... - 실험 2. 10비트 병렬 가산기를 설계하시오.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.07.25
  • 병렬 가산기 설계 결과보고서
    실험 목표 - VHDL 라이브러리의 기본적인 문법을 이해하고, 전가산기를 이용하여 병렬가산기를 설계한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산
    결국, 십의 자리는 6 일의 자리는 3을 출력한다.① 실험 117 < 2진 하진 DA 변환기 >② 실험 120 < ADC0804를 이용한 AD 변환 >< Timer 소자 회로 실험
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • vhdl 4bit 병렬가산기
    A2과 B2그리고 A1와B1의 가산에서 발생한 C1를 가산=> A2+B2+C1 => 가산결과 합S2와 자리올림 수 C2발생4. ... A3과 B3그리고 A2와B2의 가산에서 발생한 C2를 가산=> A3+B3+C2 => 가산결과 합S3와 자리올림 수 C3발생5. ... A3와 B3의 가산 결과 발생한 자리올림 수 C3는 상위단이 없으므로 S3가 된다
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.09
  • 03 논리회로설계실험 결과보고서(병렬가산기)
    그 후 Full Adder 8개로 병렬 가산기 회로를 구성하였다. ... 병렬 가산기를 schematic & 모듈화 방식을 사용하여 설계하였다. ... 가산기를 설계하시오(2) VHDL 코딩1) 소스코드2) 테스트 벤치 코드3) Wave Form4) 결과 분석이번엔 VHDL을 이용하여 병렬 가산기를 설계하였다.
    리포트 | 5페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • VHDL을 이용한 2진병렬가산기, 3상태버퍼
    - 이진병렬가산기란? ... n개의 전가산기를 연결하면 n비트로 구성된 2개의 2진수를 더할 수 있는 이진병렬 가산기를 쉽게 구성할 수 있음. ... 그러나 이와 같은 이진병렬가산기는 아랫단의 계산이 완 료되어야만 그 자리올림을 윗단이 입력으로 받아 계산을 할 수 있으므로 전체 계산시간 이 많이 걸린다는 단점을 갖음 -
    리포트 | 8페이지 | 2,000원 | 등록일 2011.06.22
  • [가산기레포트]총정리 리포트(전가산기,반가산기,이진병렬가산기,BCD가산기)
    가 산 기목차 :1. 반가산기2. 전가산기3. 이진병렬가산기4. BCD가산기덧셈, 뺄셈, 곱셈, 나눗셈 등의 산술연산을 하기 위해 자주 계산기를 사용한다. ... 자리올림 입력으로 들어가도록 구성된다.이와 같은 요령으로 n개의 전가산기를 연결하면 n비트로 구성된 2개의 2진수를 더할 수 있는 이진병렬가산기를 쉽게 구성할 수 있다.그러나 이와 ... 같은 이진병렬가산기는 아랫단의 계산이 완료되어야만 그 자리올림을 윗단이 입력으로 받아 계산을 할 수 있으므로 전체 계산시간이 많이 걸린다는 단점을 갖는다.따라서 아주 고속의 연산속도가
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.06 | 수정일 2018.10.17
  • 디지털논리회로실습-6장 병렬가산기 및 감산기
    병렬 가산기)을 사용하여 4Bit 2진 병렬 가산기, 4Bit 2진 병렬니다. ... 디지털회로실험예비 보고서(제 6장 병렬 가산기 및 감산기)학과학번성명1조컴퓨터공학과20040244김선습안현태안정민김성훈제 6장 병렬 가산기 및 감산기1. ... ::2.3 4Bit 2진 병렬 가산/감산기74LS83 2개와 Exclusive-OR 게이트를 이용하여 4Bit 2진 병렬 가산/감산기를 구현 할 수 있다.
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.08
  • VHDL - 가산기, 반가산기, 4bit 병렬가산기, 8bit cla, SR 래치, D 래치
    LIBRARY IEEE;USE IEEE.std_logic_1164.all;USE IEEE.std_logic_arith.all; ENTITY ripple4_str ISPORT( a : IN std_logic_vector(3 downto 0); b :..
    리포트 | 5페이지 | 1,000원 | 등록일 2006.11.04
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    관련 이론2.1 4 bit 2진 병렬 가산기여러 개의 2진 비트로 구성되어 있는 두 개의 값을 동시에 가산하기에 필요한 가산기를 병렬가산기라 한다.예를 들어 두 개의 4 bit의 2진수와를 ... 7483 (4 bit 2진 병렬 가산기)? ... 전가산기와 반가산기를 이용한 BCD 가산기는 [그림 8-4]와 같으며, 4 bit 2진 병렬 가산기의 MSI 칩 7483을 이용한 BCD 가산기의 블록도는 [그림 8-5]와 같다.
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • 예비보고서(7 가산기)
    구성한 전가산기가 병렬 가산기(parallel adder)이다. 4비트 병렬 가산기의 개념도를 보인 것이다. ... (b) 진리표A BD BR0 00 11 01 10 01 11 00 0그림 5 반감산기(6) 병렬 감산기와 직렬 감산기병렬 감산기와 직렬 감산기는 각각 병렬 가산기와 직렬 가산기와 비교할 ... 이를테면 전가산기 한 단의 계산시간이 30ns 정도이므로 4비트 병렬 가산기의 경우는 120ns 의 시간이 소요된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 가산기와 반가산기 ppt
    조원 : Ch.3 반가산기와 전가산기개요 1. 기본개념 배타적 or 게이트 반가산기와 전가산기 전가산기를 병렬로 연결해 n bit 계산 만들기 전감산기 2. ... ) C out = YC in +XC in +XY전가산기를 병렬로 연결한 n bit 계산기 S=A 3 A 2 A 1 A 0 +B 3 B 2 B 1 B 0 의 예시 (4bit)전감산기 ... 1 1 0반가산기 2 진 가산기는 반가산기라고 불리며 2 개의 이진수를 묶어서 출력과 캐리를 발생시킨다 .
    리포트 | 16페이지 | 4,000원 | 등록일 2019.09.24
  • 논리회로설계실험_반가산기/전가산기 결과레포트
    연산이 잘 되었으므로 소스 코드가 제대로 작성됐음을 알 수 있다.- 실습. 4비트 병렬 가산기를 설계하시오.1) Schematic실습자료를 바탕으로 전가산기를 모듈화하여 4비트 병렬 ... 이를 모듈화하여 4bit와 8bit 병렬 가산기까지 그려본다.2. 실험 결과- 실험 1. ... 실습전까지만 해도 전혀 몰랐던 사실들을 알게되어서 뿌듯한 시간이었다.(2)AND 게이트, OR 게이트를 설계해봤던 저번 실습에 이어 이번실습에서는 반가산기, 전가산기, 병렬가산기
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 가산기와감산기
    조합논리 회로이다2진 병렬가산기가산기 여러 개를 병렬로 연결하여 2비트 이상인 가산기를 만들 수 있는데, 이를 병렬가산기라 한다.실험1실험부품:7408gate,7486gate,직류전원 ... 8.가산기와 감산기반가산기한자리 2진수 2개를 입력하여 합과 캐리를 계산하는 덧셈회로전가산기2진수 입력 2개와 아랫자리 캐리까지 포함하여 한자리 2진수 3개를 더하는 조합논리회로이다.반감산기한비트의 ... 2진수 A에서 B를 빼는 것으로 차와 빌림수를 계산하는 뺄셈회로이다.전감산기두 2진수 입력 An과 Bn과 아랫든으로 빌려주는 빌림수 Kn-1을 포함하여 An-Bn-Kn-1을 계산하는
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.25
  • 디지틀 논리회로 실험6 가산기와 감산기
    2 진 4bit 전감산기와 전가산기결과분석 및 결론 먼저 반가산기와 전가산기를 구성해보았고 반감산기와 전가산기 그리고 2bit 병렬 2 진 가산기 회로까지 회로를 잘 구성하였다 . ... 회로를 사용해 2bit 병렬 2 진 가산기 회로를 구성한다 . 7483,7486 회로를 사용해 2 의 보수를 이용한 2 진 4bit 전감산기와 전가산기를 구성한다 .입력 A,B 에 ... ,B,C 에 0 0 1 을 넣었을 때 b ,d 가 1 1 인 사진 입력 A,B,b 에 따른 출력 d,b 를 구한다 전감산기 회로2bit 병렬 2 진 가산기 회로2 의 보수를 이용한
    리포트 | 13페이지 | 2,000원 | 등록일 2019.10.03 | 수정일 2021.10.17
  • 디지털회로실험 ---6장
    BULLETB이다.실험(5)에서는 2-bit 병렬 2진 가산기 회로를 결선한 다음 입력변화에 따른 출력 S0, S1, C1을 측정하였다. 2-bit 병렬가산기 실험회로에서 출력 값 ... 실험 목적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 반감산기와 전감산기의 원리를 이해한다.(3) 가산기와 감산기의 동작을 확인한다.(4) 가산과 감산을 할 수 있는 회로를 ... 결과 분석 및 결론실험(2)에서는 반가산기 두 개를 이용하여 전가산기를 만들었다. 2진수로 표시된 2개의 수와 아랫자리에서 발생한 자리올림수까지 합해 주도록 하는 가산기를 전가산기라고
    리포트 | 6페이지 | 1,000원 | 등록일 2019.12.02
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대