• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(387)
  • 리포트(376)
  • 논문(5)
  • 자기소개서(2)
  • 시험자료(2)
  • 서식(1)
  • 방송통신대(1)

"분주 카운터" 검색결과 1-20 / 387건

  • 타이머/카운터 1을 9비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 64분주시켜 Duty 비가 70인 구형파를 만들어서 OC1C 핀으로 정상 출력하고자 한다. 필요한 레지스터 값을 설정하시오.
    타이머/카운터 1을 9비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 64분주시켜 Duty 비가 70%인 구형파를 만들어서 OC1C 핀으로 정상 출력하고자 한다. ... -과목 : 마이크로프로세서-학번 :-이름 :타이머/카운터 1을 9비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 64분주시켜 Duty 비가 70%인 구형파를 만들어서 OC1C ... 설정하시오.9비트 Fast PWM : WGM13 = 0, WGM12 = 1, WGM11 = 1, WGM10 = 0OC1C 핀의 정상 출력 : OCM1C1 = 1, COM1C0 = 064분주
    리포트 | 3페이지 | 8,000원 | 등록일 2022.09.01 | 수정일 2022.09.21
  • 타이머/카운터 3을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 256분주시켜 Duty 비가 40인 구형파를 만들어서 OC3B 핀으로 정상 출력하고자 한다. 필요한 레지스터 값을 설정하시오.
    타이머/카운터 3을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 256분주시켜 Duty 비가 40%인 구형파를 만들어서 OC3B 핀으로 정상 출력하고자 한다. ... PWM 모드는 7번 모드이다.7번 모드는 WGM33=0, WGM32=1, WGM31=1, WGM30=1 이다.OC3B 단자의 출력 모드는 COM3B1=1, COM3B0=0 이다.256분주는 ... COM3A1COM3AOCOM3B1COM3B0COM3C1COM3C0WGM31WGM3000100011TCCR3B(0x0C)ICNC3ICES3-WGM33WGM32CS32CS31CS3000001100TCCR3C(0x00)FOC3AFOC3BFOC3C-----00000000클럭 속도 설정타이머/카운터3
    리포트 | 2페이지 | 4,000원 | 등록일 2021.12.14 | 수정일 2024.05.27
  • [마이크로프로세서] 타이머 카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고 내부 클럭을 8분주시켜 Duty 비가 35인 구형파를 만들어서 OC1B 핀으로 정상 출력하고자 한다 필요한 레지스터 값을 설정하시오
    마이크로 프로세서□ 타이머/카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주시켜 Duty 비가 35%인 구형파를 만들어서 OC1B 핀으로 정상 출력하고자 ... 필요한 레지스터 값을 설정하시오.□ 타이머/카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주시켜 Duty 비가 35%인 구형파를 만들어서 OC1B ... 0, WGM12 = 1, WGM11 = 1, WGM10 = 1 (10bit Fast PWM)OC1B 단자의 정상(비반전) 출력 모드 설정COM1B1 = 1, COM1B0 = 08 분주
    리포트 | 3페이지 | 10,000원 | 등록일 2021.05.06
  • 타이머/카운터3을 10비트 분해능의 fast pwm모드로 설정하고 내부클럭을 1024분주시켜 duty비가 60%인 구형파를 만들어서 oc3c핀으로 정상출력하고자 한다.필요한 레지스터 값을 설정하시오
    타이머/카운터는 내부 클럭을 분주한 클럭이나 Tn핀으로 들어오는 외부 클럭을 사용? ... 내부 클럭 소스- 타이머/카운터의 클럭으로 시스템 클럭을 사용할 수 있음- 시스템 클럭을 분주하지 않고 사용하면 타이머/카운터 클럭 주파수는 fCLK_I/O가 되어 가장 빠르게 동작 ... 마이크로 프로세서 과제■타이머 /카운터 3을 10비트 분해능의 Fast pwm 모드로 설정하고 내부클럭을 1024분주시켜 Duty비가 60%인구형파를 만들어서 OC3C핀으로 정상 출력
    리포트 | 12페이지 | 2,500원 | 등록일 2020.01.27
  • 타이머 카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주 시켜 Duty 비가 35퍼센트인 구형파를 만들어서 OC1B핀으로 정상출력하고자 한다. 필요한레지스터 값을 설정하시오.
    본장에서는 타이머 카운터에 대한 학습을 통하여 타이머/카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주시켜 Duty 비가 35%인 구형파를 만들어서 ... 주파수나 주기를 측정할 때 주로 사용되는 것으로 카운터는 입력신호로 내부 클럭 소스가 아닌 외부의 사건을 입력받을 때 카운터라 하며 카운터로 사용될 때는 카운터의 값은 외부에서 일어난 ... 서론 자명종과 스톱워치는 정확한 시간의 측정이 필요한데 임베디드 시스템에서 타이머와 카운터가 신간 측정을 담당한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.16 | 수정일 2021.06.24
  • 64분주를 이용한 0.5초마다 LED깜빡이기 카운터 소스
    TCNT0 = 0x83; // 카운트 초기값TIMSK = 0x01; //0000 0001TCCR0 = 0x04; // 0000 0100 normal mode, 64분주}void main ... TCNT0 = 0x83; // 카운트 초기값TIMSK = 0x01; //0000 0001TCCR0 = 0x05; // 0000 0100 normal mode, 64분주}void main ... TCNT0 = 0x83; // 카운트 초기값TIMSK = 0x01; //0000 0001TCCR0 = 0x06; // 0000 0100 normal mode, 64분주}void main
    리포트 | 3페이지 | 1,000원 | 등록일 2015.02.28
  • [VHDL]실험14. 주파수 분주 카운터
    학기2011년 1학기과목명디지탈논리회로실험LAB번호실험 제목14주파수 분주 카운터실험 일자제출자 이름제출자 학번팀원 이름팀원 학번*실험 목적(1) 주파수 분주를 위한 카운터의 VHDL ... 클럭을 10분주하여 bHz의 주파수를 가진 클럭을 만들어 clkout을 통해 출력하려고 한다.(여기서 10분주 한다라고 하는것은? ... 이때 당연히 A Hz >B Hz이 된다.분주기를 설계하려면 예를들면, Hz의 주파수를 가진 입력클럭 clk가 있다고 가정하자.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.06.08
  • [논리회로] N 분주 카운터
    하나의 TTL로 구성된 N분주 카운터【기 본 이 론】1. 7490 10진 카운터10진 카운터는 4개의 Flip Flop이 2분주 카운터와 5분주 카운터로 내부에서 연결되어 있다. ... 카운터4비트 2진 카운터는 4개의 Flip Flop에 의해서 2분주 카운터와 6분주 카운터로 내부 접속되어 있다. ... 이때 입력은 A로 인가된다. 6분주, 12분주된 출력이 동시에 A, C, D에서 얻어지게 되며 표 13-2의 진리표에서 mode 1에 해당된다.(2) 6분주 카운터로 사용할 경우입력
    리포트 | 6페이지 | 1,000원 | 등록일 2003.08.14
  • [논리회로] N분주 카운터
    카운터는 4개의 Flip-Flop이 2분주 카운터와 5분주 카운터로 내부에서 연결되어 있다. ... 13장 하나의 TTL로 구성된 N분주 카운터1. 실험목적1. N분주 카운터 회로의 원리를 습득한다.2. ... 2진 카운터는 4개의 Flip-Flop에 의해서 2분주 카운터와 6분주 카운터로 내부 접속되 어 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2002.12.21
  • [디지털공학개론] 디지털 시계의 회로도 설계와기본형 레지스터 및 IC의 종류
    카운터 회로분주 회로의 과정을 거쳐 생성된 의 주파수들은 일련의 카운터 회로를 거쳐 1Hz 시 분 초라는 시간 단위의 구분을 만들어낸다 이는 진 카운터 진 , , . [12 ]-[6카운터 ... 분주 회로디지털 시계의 기본 단위로 약속된 시간 규격인 초 를 나타내기 위해서는 ‘ ’ 1Hz 주파수가 필요하다 분주회로는 정현파에서 구형파를 얻을 수 있도록 . 60Hz 1Hz 도와주는 ... 진 카운터카운터카운터 의 구조로 연결되어 있으며 ]-[10 ]-[6 ]-[10 ] ,[12 ] ‘ ’ , 진 카운터 는 시 의 신호 우선으로 배치된 진 카운터카운터
    리포트 | 6페이지 | 2,000원 | 등록일 2020.04.05
  • 11. 카운터 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    마찬가지로 분주회로로도 사용 가능하다.앞에서 살펴본 4진 비동기 카운터와 8진 동기 카운터에서 더 많은 숫자의 JK Flip Flop 을 사용한다면 더 큰 규모의 카운터분주회로를 ... 앞에서 확인한 것 처럼 n 개의 JK Flip Flop 사용 시 2n 진 카운터 or 분주회로를 만들수 있다. ... 따라서 카운터분주회로 중 목적에 맞게 사용할 수 있다.다음은 8진 동기 카운터에 대해 확인해보자.8진 동기 카운터는 3개의 JK Flip Flop 을 이용하여 입력 클컥 신호가
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 디지털 알람 시계 회로도 설계 및 제작
    회로도 및 회로 설명 ( 카운터 ) 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현2. ... 회로도 및 회로 설명 ( 분주회로 ) 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현2. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • Counter 회로제작 (기초공학실습, KAIST)
    MOD N 카운터2진 카운터가 각 단을 거칠 때마다 1/2 씩 주파수를 분주시키는 원리를 이용한 것이라면 MOD N 카운터는 임의의 수인 N을 카운트 한 후 다시 원상태로 되돌아 가는 ... over {2} ) ^{n}의 분주가 얻어진다. ... 즉 트래커 펄스가 입력된 후 300nsec가 지난 후에야 마지막 상태가 출력된다.이 지연시간은 단지 주기를 분주하는 회로에에서는 s관계가 없짐나 예를 들어 출력단자 1과 5를 이용하여
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 2
    상향 게이트라고 볼 수 있고, 그러므로 2분주, 4분주, 8분주, 16분주의 결과가 나오는 것을 확인할 수 있었다.실험 6) JK Flip-Flop을 이용한 동기 카운터를 설계하고 ... 결과분석- 회로의 모든 플립플롭에 클록 펄스를 동시에 인가하는 동기 카운터로 설계한 회로이다.- 클록에 NOT 게이트가 있으므로 상향 카운터라고 볼 수 있고, 그러므로 각각 2분주, ... 4분주, 8분주, 16분주의 결과가 나오는 것을 확인할 수 있었다.실험 7) JK Flip-Flop 플립플롭을 이용한 비동기 카운터와 MUX를 설계하고, S1,S0의 입력에 따른
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 전기전자기초개론실험/기계공학실험 설계 텀프로젝트
    회로도그림 1 디지털 시계 회로그림 1은 7-Segment와 IC 논리회로를 활용한 디지털 카운터 시계이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 및 분주회로와, ? ... 회로 분석① 발진회로에서 가변저항을 조절하여 2048Hz의 일정한 주파수 클록을 발생시킨다.② 분주회로의 Q11 출력단에 연결하여 분주회로에서 입력받은 2048Hz의 주파수를 2048분주 ... 출력Q _{1}은 발진회로에서 클록펄스를2 ^{1}분주한 주파수를 얻으며, 출력Q _{4}는 클록펄스를2 ^{4}분주한 주파수를 얻는다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.11.29
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 11차 예비보고서
    따라서 Q1을 출력으로 하면 2 분주회로로 사용될 수 있으며 Q2를 출력으로 하면 4 분주회로로 사용될 수 있다. (※ 분주: 하나의 clock을 입력받을 때 주파수를 1/n으로 나눔 ... 더하여 예상한대로 clock 주파수 f에 대해 Q1 주파수 = f, Q2 주파수 = f, Q3 주파수 = f, Q4 주파수 = f이 되어 분주회로로 활용할 수 있고, clock 신호의 ... 역할을 하고 있음을 확인할 수 있다.2. 8진 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • PLL DIIVDIER 생기초 커피값으로 C라도 받아가자!
    비동기식 카운터 (리플 카운터)ㅇ 클록 펄스에 모든 플립플롭이 동기화되지 않으며 동작함- 보통, 첫번째(LSB) 플립플롭에 만 클록펄스에 동기됨ㅇ 특징- 단점 : 각 플립플롭을 통과할 ... 동기식 카운터 (병렬 카운터)ㅇ 클록 펄스에 모든 플립플롭이 동시에(병렬로)/동기화되어 동작함ㅇ 특징- 첫 째단은, 매 클럭 마다 보수(토글) 됨그 외 단은, 자신 보다 낮은 모든 ... 개념: 디지털 카운터같은 구조로 되어 있으며, 이 분주비를 복잡하게 살짝 비틀어서 PLL 구조의 출력 주파수 가변을 할 수 있게 하는 역할을 한다.목적: 이를 통해 VCO의 출력 주파수를
    리포트 | 20페이지 | 1,500원 | 등록일 2021.03.18 | 수정일 2021.04.12
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    서론Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는 회로를 ... (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7- ... 설계 실습 내용 및 분석2.1 기본적인 클럭 생성 회로 및 카운터 회로 테스트(A) Function generator를 이용하여 사용하고자 하는 1Hz의 Clock 신호를 만들어 낸다.Frequency
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 카운터의 응용으로 디지털시계의 회로도를 완성해가는 과정을 설명하시오. 4가지 기본형 레지스터의 분류에속하는 IC들을 정리하시오.
    펄스를 얻을 수 있고 이것을 다시 60분주 하면 매분 1사이클의 펄스를 얻을 수 있으며 이것을 다시 12분주 하면 매시간 1사이클의 펄스를 얻을 수 있으므로 적당한 카운터를 설계하여 ... 디지털시계 일상생활에서 흔히 볼 수 있는 디지털시계는 시간, 분, 초를 디스플레이하는 카운터와 디코더의 흥미있는 응용이라고 볼 수 있다. 60Hz를 써서 60분주하면 매초 1사이클의 ... 카운터는 크게 동기식 카운터와 비동기식 카운터로 나뉘며 동기식 카운터는 직력 카운터, 비동기식 카운터는 병렬 카운터라 불리기도 한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.02
  • 디지털 회로 응용 - 동기식 카운터3
    동기식 16진 카운터 74161을 이용하여 144분주 회로를 설계하시오.(교재 문제11) ... 동기식 10진 카운터 74160을 이용하여 0에서 99까지 셀 수 있는 카운터 회로를 설계하시오.(교재 문제8)과제 2. ... 동기식 10진 카운터 74160을 이용하여 3→4→5→6→7→8 - - -이 반복되는 카운터 회로를 설계하시오.과제 4.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.12.05
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대