• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,300)
  • 리포트(6,879)
  • 시험자료(251)
  • 자기소개서(65)
  • 방송통신대(46)
  • 논문(28)
  • 서식(28)
  • ppt테마(2)
  • 노하우(1)

"스위치설정" 검색결과 1-20 / 7,300건

  • 3-1.Switch Basic
    Mode인터페이스 설정 모드전체 설정 모드에서 “interface type” 명령어 사용Switch(config-if)#스위치 모드는 이용자 모드, 관리자 모드 , 설정 모드로 구분할 ... 기본 설정Switch 이름 설정 및 변경Switch# conf t Enter configuration commands, one per line. ... [yes]: no Configuring global parameters: Enter host name [Switch]:이 부분에서 질의 / 응답 형식으로 기본적인 설정을 할 수 있다.Switch
    시험자료 | 55페이지 | 2,000원 | 등록일 2021.01.06
  • 인터네트워킹실습 중간고사 및 기말고사 전체 정리
    Block상태로 하여 링크를 차단Root bridge : Spanning-Tree의 root 스위치를 의미, Bridge ID가 가장 낮은 스위치를 선정Nonroot bridge ... 실습표준 액세스리스트 설정확장 액세스리스트 설정Ping 차단 액세스리스트10. NAT를 이용한 네트워크 구축1. ... 존재하는데 시스템에 대한 설정이 바뀌면 우선 RAM에 저장된다.
    리포트 | 24페이지 | 1,500원 | 등록일 2020.08.18
  • IT면접 대비(네트워크)
    예: TCP, UDP.세션 계층 (Session Layer): 통신 세션을 설정, 관리, 종료합니다. ... (Transmission Control Protocol)와 UDP (User Datagram Protocol)는 전송 계층의 프로토콜입니다.TCP:연결 지향적 프로토콜로, 연결을 설정하고 ... 라우터와 스위치의 차이점을 설명해 주세요.답변: 라우터와 스위치는 네트워크 장비로, 각각 다른 역할을 수행합니다.라우터:다른 네트워크 간의 데이터 전송을 담당합니다.IP 주소를 기반으로
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.07.07
  • (SOP) GC-FID 사용 작업표준
    최하단의전원스위치를 눌러 가동을 시작한다." ... 전원스위치 Turn on2. View 창에서 G.C Icon 클릭3. ... 주입구 설정7. Oven 설정8.
    서식 | 1페이지 | 1,000원 | 등록일 2022.03.30
  • SK브로드밴드 네트워크엔지니어직 인턴 합격자소서
    (본인이 설정한 목표/ 목표의 수립 과정/ 처음에 생각했던 목표 달성 가능성/ 수행 과정에서 부딪힌 장애물 및 그 때의 감정(생각)/ 목표 달성을 위한 구체적 노력/ 실제 결과/ 경험의 ... 스위치 버튼을 인터럽트 구문 속에 넣었기에 스위치 하나를 누를 때마다 나머지 두 개의 스위치 각각의 반응을 판단할 필요가 있었습니다. ... 그 후 7segment를 ‘시작, 멈춤, 리셋’ 과 같은 스위치와 연결하는 과제가 주어졌습니다. 스위치 버튼 3개를 인터럽트 구문 속에 삽입하여 스위치 구현을 시도하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.03
  • 온실내 무인작업기를 위한 경로 자동변환 시스템 개발
    한국생물환경조절학회 김창수, 이대원, 이승기
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 정보기기운용기능사 실기 명령어
    >enableenRouter#configure th#exitexSwitch>6스위치 Trunking 설정Switch>enableenSwitch#configure terminalconf ... port-security포트에 보안설정Switch(ate ... 설정Switch(config)#int f0/24Switch(config-if)#"switchport trunk allowed vlan 10,20""int f0/24 포트 사용권한을
    시험자료 | 4페이지 | 1,500원 | 등록일 2022.12.19
  • 교류및전자회로실험 실험3_순차 논리 회로 기초 결과보고서
    (clock, INPUT); // 클록을 입력으로 설정pinMode(sw, INPUT); // 스위치를 입력으로 설정Serial.begin(9600); // 통신보율 설정}void ... 1이라면{po=1; // LED상태 변수를 1로 설정}else{po=0; // 클록이 1인 동안에 스위치가 0이라면 LED상태 변수를 0으로 설정}digitalWrite(led, ... 저장int po; // LED의 상태를 지정할 변수를 설정if(sw==HIGH) // 스위치 동작.println(); // 줄바꾸기 입력}else{Serial.print("스위치off
    리포트 | 9페이지 | 1,000원 | 등록일 2024.08.17
  • 교류및전자회로실험 실험1_아두이노 복습 결과보고서
    설정했다. ... 프로그램을 작성한다.(13) 컴파일 및 업로드한 후, 스위치를 눌렀다 떼며 그 결과를 확인한다.스위치 0 - OFF스위치 1- ON4. ... 출력으로 설정pinMode(pin_a, INPUT); // 2번 핀을 출력으로 설정}void loop() {boolean state = digitalRead(pin_a); // state라는
    리포트 | 12페이지 | 1,000원 | 등록일 2024.08.17
  • [부산대학교 응용전기전자실험2] 사이리스터 예비보고서
    사이리스터의 토글스위치와 저항부하의 토글스위치가 O(open)에 설정되어 있는가를 확인한다.a. 수동부하에 공급하는 위상 제어브리지7. ... 그러고 나서, Power Supply에서 , 메인 파워 스위치를 I(ON)으로 설정하고, 전압 조정 노브를 90%로 설정한다.이 브릿지가 환류 다이오드처럼 동작하나? ... (DC coupled)시간설정 5 ms/DIV트리거 LINE9. Power Supply에서 전압조절단자는 0에 설정하고 주 전원스위치가 I(ON)의 위치에 두도록 한다.
    리포트 | 15페이지 | 1,000원 | 등록일 2024.02.27
  • 건국대학교 전기전자기초설계및소프트웨어실습 10주차 레포트 A+
    );// SWITCH를 INPUT 핀모드로 설정pinMode(RED, OUTPUT);// RED LED를 OUTPUT 핀모드로 설정pinMer는 매개변수로부터 interval값을 받아 ... ();// 멈춰있던 타이머 다시 시작state = LOW; // state 상태를 LOW로 설정_ state 값 토글}}void setup() {pinMode(SWITCH, INPUT ... (100); //100ms delay 설정/*스위치 바운싱 (채터링 현상) : 스위치의 접점이 서로 붙거나 떨어지는 과정에서 물리적으로 문제가 생기기 때문에 스위치가 여러번 눌리는
    리포트 | 9페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • SK하이닉스 SW(소프트웨어) 직군 합격 자기소개서 (5)
    팀원들이 초심자를 위한 블로그나 강의 사이트를 알려주어 프로그램 설치 단계부터 기초적인 코드 문법, 레이아웃 설정 등 기본적인 지식을 쌓았습니다. ... (본인이 설정한 목표 / 목표의 수립 과정/ 처음에 생각했던 목표 달성 가능성/ 수행 과정에서 부딪힌 장애물 및 그 때의 감정(생각)/ 목표 달성을 위한 구체적 노력/ 실제 결과/ ... (관련된 사람들의 관계 및 역할/ 혼자 하기 어렵다고 판단한 이유/ 목표 설정 과정/ 자원 활용 계획 및 행동/ 구성원들의 참여도 및 의견 차이/ 그에 대끌어 내기 위한 구체적 행동
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.06
  • 실험5 Shift Registers 결과보고서 A+ 레포트
    Serial input-parallel output (SIPO) shift register는 입력은 직렬로 출력은 병렬로 설정한 register이다. ... 이번 실 험은 Switch 1에는 CL을, Switch 2에는 D를, Switch 3에는 CLK를 연결한 뒤, 모든 Switch를 0 으로 하여 FF의 출력을 0 으로 하고 Switch ... 1을 1로 하고 Switch 2도 1로 한 뒤, CLK 신호인 Switch 3을 0 -> 1 -> 0 으로 바 꾸어가며 출력을 살펴보고 Switch 2를 0으로 한 뒤, CLK 신호인
    리포트 | 3페이지 | 2,000원 | 등록일 2023.11.15
  • 교류및전자회로실험 실험2_TIMER 기초 결과보고서
    #include // SimpleTimer 라이브러리를 포함#define LED 13 // led변수를 13번으로 지정#define SWITCH 2 // SWITCH 변수를 13번으로 ... 이후 셋업함수에서 13번핀을 출력으로 설정하고 통신보율을 설정한 후 SimpleTimer를 사용하여 toggle 함수를 1000밀리초마다 호출하도록 설정했다. ... 이후 셋업함수에서 13번핀을 출력으로, 2번핀을 입력으로 설정하고 통신보율을 설정한 후 SimpleTimer를 사용하여 toggleOn 함수를 500밀리초마다, toggleOff 함수를
    리포트 | 12페이지 | 1,000원 | 등록일 2024.08.17
  • 중앙대 전자회로 설계 실습 예비보고서 5_BJT와 MOSFET을 사용한 구동(switch) 회로
    1.25V로 설정한다.3.4 MOSFET를 이용한 LED 구동회로그림 3에서 입력저항 가 100kΩ이고 스위치를 닫았을 때 BL-B4531 (, )이 켜지고 스위치를 열었을 때 꺼지는 ... BJT가 switch 역할을 하기 때문에, BJT가 완벽하게 saturation 영역에서 동작하게 하기 위해서는 , , 로 설정해야 한다.3.1 부하가 emitter에 연결된 LED ... BJT와 MOSFET을 사용한 구동(switch) 회로3.
    리포트 | 3페이지 | 2,000원 | 등록일 2024.03.05 | 수정일 2024.03.11
  • [A+] 오실로스코프와 함수발생기 예비보고서
    사용하는 방법 *****CH1 메뉴 버튼을 누른다.프로브 감쇠량을 10X로 설정한다.스위치를 프로브에서 10X로 설정한다.채널1 프로브를 신호에 연결한다.AUTOSET 버튼을 누른다.이때 ... (이때, 출력신호가 안정될 때까지 약 10분 정도 예열시간을 준다.)파형 선택 스위치를 이용하여 내가 선택한 파형이 정상적으로 출력되는지 확인하기.위와 같은 과정들을 마치면 초기 설정은 ... : 외부입력주파수 또는 내부발생주파수 측정 모드를 선택하는 스위치다.[4] 주파수 범위선택 스위치 : 발생하는 주파수의 범위를 원하는 범위로 선택하는 스위치다.[5] , 신호를 이곳에
    리포트 | 15페이지 | 3,000원 | 등록일 2023.08.15 | 수정일 2023.09.04
  • 건국대학교 전기전자기초설계및소프트웨어실습 7주차 레포트 A+
    변수나 핀 모드 설정, 사용할 라이브러리를 설정한다.전원이 공급될때, 또는 아두이노 보드에서 리셋을 눌렀을 때, 한번만 실행된다. ... ) 스위치 : 스위치가 OFF일 때 LOW 상태이지만 스위치를 ON일 때 HIGH가 되게 하는 회로 스위치를 누를 때 전류가 GND로 흐르게 되면서 저항 없이 흐른 전류는 과전류 상태로 ... *//*반복문을 이용하여 순차적으로 col을 검사하며 반복적으로 각각의 입출력 핀에 입력과 출력을 설정하는 코드*//*col의 Row, LED을 입출력 핀 Setting */for(
    리포트 | 12페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 전기및디지털회로실험 실험 M2 결과보고서
    이후 스위치 입력을받는 디지털 입력 핀과 LED로 출력하는 디지털 출력 핀을 설정하기 위해 각 함수를 사용했다. ... 실행 결과 만능기판에서 스위치를 누름에 따라 led가 예상대로 점등되지 않고 모든 경우에서 점등되지 않았다.- 전가산기: 셋업 함수에서 통신 보율과 디지털 입출력 핀을 설정했다. ... 보율을 설정했다.
    리포트 | 14페이지 | 1,000원 | 등록일 2024.03.12
  • 포항공대 생명과학과 대학원 합격 자소서
    그리고 이는 포항공과대학교 대학원 과정을 통해 실현될 수 있다고 생각합니다.우선, 자신의 틈을 채우는 것을 첫 번째 목표로 설정하였습니다. ... 따라서 Toehold switch 상류 영역에 RNA 분해효소에 강한 2차 구조의 삽입은 switch를 안정화해 더 많은 트리거와 반응하게 됩니다. 2차 구조의 CG 함량, 길이가 ... Toehold switch의 input을 다양화는 곧, 활용도의 확장이라고 생각합니다.
    자기소개서 | 2페이지 | 7,000원 | 등록일 2022.11.29
  • [금오공과대학교 일반물리학실험2] 커패시터의 충방전 (예비+결과보고서)
    설정을 마친 후 데이터로그 보기의 화면에서 초기화 버튼을 클릭하여 측정을 초기화하고, 실험장치의 스위치를 방전에 위치시켜 커패시터에 쌓인 전하가 완전히 ... 전원공급을 위해 전원 스위치를 켜고, 데이터로그 보기 화면에서 시작을 클릭한다. 실험 장치의 스위치를 충전에 위치시키고 입력되는 신호를 확인한다. ... MAX: 6000mV, MIN: -1000mV, 샘플링 비율: 100 Sample/s, 한 화면에 찍을 데이터 수: 매 1000장 그리기로 설정한다.6.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.08.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대