• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,505)
  • 리포트(1,386)
  • 자기소개서(53)
  • 시험자료(36)
  • 방송통신대(27)
  • 논문(2)
  • 서식(1)

"스톱워치" 검색결과 1-20 / 1,505건

  • 파일확장자 C언어 스톱워치
    C언어로 간단하게 구현한 스톱워치 입니다.일시정지 기능이 있으며 코드는 98줄 입니다.
    리포트 | 1,000원 | 등록일 2020.09.04
  • 파일확장자 (안드로이드스튜디오) 시계앱 스톱워치 기능 구현
    스톱워치는 두 종류가 있으며 첫번째 스톱워치는 텍스트뷰로 구성되어 0.1초 단위까지 표시됩니다.구간기록은 00:00.00 단위로 기록되며 스톱워치도 00:00.00 단위로 움직입니다.두번째 ... 스톱워치는 Chronometer 클래스로 구현해 코드를 좀더 간략화 시켰습니다 .구간기록은 00:00:00 단위로 기록되며 스톱워치도 00:00:00 단위로 움직입니다첫번째의 차이점은 ... 텍스트뷰로 되어있는 타이머, 스톱워치2에는 Chronometer 클래스로 구현된 타이머가 있습니다.activity_main과activity_main(land)로 세로모드, 가로모드
    리포트 | 2,000원 | 등록일 2024.05.22 | 수정일 2024.06.20
  • 한글파일 부산대학교 기전실 스톱워치 설계
    Reset 스위치는 스톱워치에 저장된 값들을 전부 초기화시키고, 스톱워치를 정지합니다. ... 자리, 그리고 소수점 아래 두 자리를 표현하는 스톱워치의 설계도입니다.1, Start / Stop 스위치로 스톱워치를 시작 / 정지 시킬 수 있습니다. ... 스톱워치와 추가 기능이 차지하는 비중이 큰 만큼, 지금까지의 실험에서 받은 감점을 만회할 수 있는 실험이기도 합니다.스톱워치는 크게 스위치부, 카운터부, 디스플레이부, 그리고 추가
    리포트 | 7페이지 | 2,500원 | 등록일 2021.07.20 | 수정일 2023.06.06
  • 한글파일 [조선대 메카트로닉스설계 A+] Labview 코딩설명서 : 스톱워치
    스톱워치 프로그램1) 스톱워치 프로그램 UI2) 스톱워치 프로그램 구현(1) Main VI- 메인 루프- 사용자 이벤트 처리 루프(2) 사용자 컨트롤- 스톱워치 상태(3) Sub VI ... 스톱워치 프로그램스톱워치 프로그램을 만들기 위해 프로젝트 파일로 구현하도록 한다. 위 스톱워치 프로그램은 1개의 사용자 컨트롤과 2개의 Sub VI 프로그램으로 구현하였다. ... 을 추가하였는데, 이는 스톱워치 프로그램 UI에 굳이 보이지 않아도 되는 부분이기 때문에 마지막에 VI 프로퍼티에서 윈도우 크기를 설정하면서 보이지 않게 해준다.1) 스톱워치 프로그램
    리포트 | 10페이지 | 1,500원 | 등록일 2020.08.14 | 수정일 2020.08.17
  • 한글파일 A+받은 TTL과 SPLD로 구현한 59.9초 스톱워치 응용회로 결과보고서
    기초전자공학실험1 응용실험 보고서(추가1) TTL와 SPLD로 구현한 59.9초 스톱워치회로 개요구성도nCLR 스위치 - FND_A에 나타나는 숫자의 초기화를 위해 사용EN 스위치
    리포트 | 6페이지 | 1,500원 | 등록일 2019.09.06
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 스톱워치 설계 stopwatch 과제 15주차
    아날로그 및 디지털회로 설계 실습15주차 과제: 스톱워치 설계1. 16진 카운터를 이용하여 10진 카운터를 만드는 방법을 간단히 설명하시오.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.06.28
  • 한글파일 실험4 예비 3 마이크로프로세서 실험 및 설계 실습5. 인터럽트로 LED 점멸 실습6. 인터럽트를 이용한 스톱워치
    . : 인터럽트를 이용한 스톱워치- 실습 5소스분석#include //확장자가 .h로 끝나는 avr/io라는 헤더파일을 포함하라는 의미의 선언.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 파일확장자 [VB6 소스포함] 더블 스톱워치 프로그램
    더블 스톱워치 V2 버젼 소스 프로그램입니다.일명 전자시계 글씨체로 전자시계로 실제로 작동하는것 처럼 보입니다.첫번째 버젼보다 글씨체가 더 이쁩니다... * 시작(▶) 버튼 스탑워치시간과
    리포트 | 2,500원 | 등록일 2017.09.20
  • 한글파일 스톱워치 구현 보고서
    Term Project- Stop-Watch 실험 -과 목: 디지털회로실험 및 설계교수명:학 과: 전자공학과조번호: 12조학 번:이 름:1. 실험목표0부터 59초까지의 시간을 측정하는 데 사용되는 간단한 디지털 시계의 회로를 구성하여 실험하고 결과를 관찰한다.2. 실험..
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 한글파일 스톱워치 마케팅
    공들이는 쇼핑-와인의 발견결론-Idea - 여행카페서론쇼핑 스톱워치란?스톱워치 하나를 떠올려보라. 아니 수백 개, 수천 개의 스톱워치를 떠올려보라. ... 그중에는 아예 멈춰버린 스톱워치도 있다.모든 스톱워치가 시간을 나타내지만 쇼핑 스톱워치만은 특별하다고 할 수 있다.모든 것은 시간과 관련 되어있다.고객에게 제품이나 장점을 효과적으로 ... + 낮은 마진/느린 스톱워치 = 기분 전환 쇼핑4) 많은 터치 포인트 + 높은 마진/느린 스톱워치 = 공들이는 쇼핑1.
    리포트 | 14페이지 | 2,500원 | 등록일 2010.06.05
  • 한글파일 스톱워치 vhdl 구현 보고서
    3.vhdl 코드1)Clk_div1MHz -> 100Hz 로 분주하여 0.01 초 단위로 숫자가 카운트 될 수 있도록 설계한다.--clkdiv.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigne..
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.24
  • 한글파일 ATMEGA128을 이용한 AVR 환경에서 스톱워치만들기
    ATMEGA 128을 이용한 AVR 환경에서 인터럽트를 이용한 스톱워치만들기목차1. 문제2. 소스코드3. ... 고찰● delay 함수를 사용하여 소프트웨어 스톱워치를 구현한다.● 2개의 푸시 버튼 스위치를 사용하여 “start/stop” 버튼, “clear” 버튼을 구현한다.● 트레이닝 키트의
    리포트 | 5페이지 | 1,500원 | 등록일 2015.06.25 | 수정일 2020.12.27
  • 파일확장자 VHDL을 이용한 스톱워치 구현
    I.프로젝트 개요VHDL을 이용한 Dot Matrix LED 제어 및 STOP WATCH 구현3개의 Dot Matrix로 MIN, SEC, SEC_HUN 표현Stop 버튼 과 reset 버튼으로 스탑워치 제어II.시스템 구성III. 각 모듈별 동작 원리 1. Top...
    리포트 | 13페이지 | 3,000원 | 등록일 2011.09.07
  • 파일확장자 atmega128 segment 스톱워치 초시계
    아트메가128 세그머트 초시계입니다. 40초까지 나오며 실제 시간과 일치합니다.왼쪽 두자리수는 초, 오른쪽 두자리수는 프레임입니다.
    리포트 | 1,000원 | 등록일 2015.04.15
  • 한글파일 스톱워치(stop watch) 설계 프로젝트
    설계 목표: FPGA를 사용하여 스톱워치를 설계한다.- 입력 : start/stop, rap/reset 버튼 2개로 구성- 출력 : 7segment 5개를 사용하여 분, 초, 초/10 ... Divider50MHz5 Divider50MHz의 자체 내부클럭을 5divider와 10divider를 사용하여 7segment와 MUX를 control 하기 위한 카운터 클럭(1kHz), 스톱워치
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 한글파일 LCD와 AVR을 이용한 스톱워치 만들기
    스톱워치 구현 내용- LCD에는 00:00:00 으로 분:초:초 까지 구현한다. ... 실험내용- Timer/Counter를 이용하여 간단한 스톱워치를 제작해 보자2. 회로도- ATmega128 기본 회로도- 실험 회로도3.
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.29
  • 워드파일 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. 순차회로에서 수행한 내용들이 stopwatch를 구현하는데 많이 사용되었으며..
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 한글파일 VHDL Stop Watch를 이용한 스톱워치 설계
    비고 및 소감< 설계 내용 및 목적 >- 1 MSEC의 단위로 0~9999 Msec까지 범위의 작동하는 스톱워치를 설계하고 실제로 확인하라.< 소스작성 및 분석 >- 도입부는 시작(
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.17
  • 파일확장자 (A+) Atmega128 을 사용하여 제작한 다기능 시계(시계,알람,스톱워치,타이머) 코드 소스
    두 번째 모드는 스톱워치입니다. 마찬가지로 나머지 버튼으로 시작, 스톱, 초기화를 할수 있습니다. 세 번째 모드는 타이머입니다. ... 실생활에 자주 사용되어지는 알람, 스톱워치, 타이머 기능들 을 추가하여 보았습니다. 저희가 사용한 Atmega128은 4버튼식으로 구성되어 있습니 다.
    리포트 | 24페이지 | 3,000원 | 등록일 2019.03.19 | 수정일 2019.03.25
  • 파워포인트파일 IC를 이용한 스톱워치
    이론과 실험을 통해 배운 논리소자를 스톱워치 설계에 적용해 봄으로써 이론과 실질적 응용에 대한 관계를 확인한다.3. 회로도 및 결과 완성물2) 완성 결과물4.
    리포트 | 10페이지 | 8,000원 | 등록일 2008.04.07 | 수정일 2022.01.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 01일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:39 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기