• 통큰쿠폰이벤트-통합
  • 통합검색(19)
  • 리포트(19)

"스파르탄보드" 검색결과 1-19 / 19건

  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Post-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴 -담당 교수담당 조교실 험 일학
    리포트 | 23페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Pre-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴-담당 교수담당 조교실 험 일학 번이
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    거기서 FPGA Start-up clock을 자신의 환경에 맞게 설정해 준다.설정을 변경 하였으면 Configure Device(iMPACT)를 더블클릭해주면 보드에 직접 구현시킬 ... Spartan-3 board 사용법 설명우리가 수업시간에 사용하게 될 Board는 정확하게 Spartan 3e Starter Board 이다.- Spartan 3E starter Board ... Spartan-3 board 사용법 설명3. Lecture2- decoder 실습1) 실험 목적2) 실험에 필요한 기본 이론3) 실험 순서A.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • 시립대 전전설2 Velilog 결과리포트 4주차
    보드(HBE_COMBO II) 기기에서 정상 작동하는지 확인해보는 실험이었다. ... 0보다 큰지 안 큰지를 통해 비교 판별이 가능하다는 점도 확인하였다.결론이번 실험은 Verilog HDL 언어를 이용하여 감산기, 비교기 \를 설계하고 이를 FPGA에 다운로드 하여 스파르탄
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    Xilinx Spartan3스파르탄 계열은 제품들 중 저가형 부품이며 버텍스 소자보다 동작속도가 느리다.다. ... PreliminaryReport주 제: Lab#02 『HBE-ComboⅡ-SE』 board,『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool지도교수 ... this Lab)Xilinx 프로그램 중 schematic을 이용하여 최종적으로 ripple carry full adder를 설계하고 주어진 실험값들과 HBE-ComboⅡ-SE board
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    Xilinx Spartan3스파르탄 계열은 제품들 중 저가형 부품이며 버텍스 소자보다 동작속도가 느리다.다. ... PostReport주 제: Lab#02 『HBE-ComboⅡ-SE』 board,『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool지도교수 ... this Lab)Xilinx 프로그램 중 schematic을 이용하여 최종적으로 ripple carry full adder를 설계하고 주어진 실험값들과 HBE-ComboⅡ-SE board
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • VHDL-Post lab - Counters
    Lecture 9Counters==================Contents===============Pre Report-----------------------------------------------1. 실험 목적2. 실험 이론 지식 - Asynchronous/..
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL-Pre lab - Counters
    Lecture 9Counters==================Contents===============Pre Report-----------------------------------------------1. 실험 목적2. 실험 이론 지식 - Asynchronous/..
    리포트 | 9페이지 | 2,000원 | 등록일 2009.06.29
  • DECODER, ENCODER
    POST REPORTDecoder & Encoder자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/SEARCH/ART/FPGA.HTM ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장 ... 한편 이번 실험에서 사용되는 Spartan-3e보드에는 7-segment를 지원하지 않아 LED표시창을 통해 출력값을 나타내었으며 그 값들을 구별하기 위해 selector를 통해 서로
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • COUNTER
    PRE REPORTCounterrh자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/SEARCH/ART/FPGA.HTM" FPGA 에디션 ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장
    리포트 | 11페이지 | 1,000원 | 등록일 2010.03.26
  • SPARTAN-3E 보드 스위치 응용
    전자전기컴퓨터설계실험2Postlab ReportMUX & DEMUX제출일자학 과담 당 교 수담 당 조 교조원학 번이 름IntroductionMUX 와 DEMUX의 동작원리에 대해 알아보고 2 X 1 MUX와 1 X 8 DEMUX의 VHDL code 를 구현한다.MUX(..
    리포트 | 7페이지 | 2,000원 | 등록일 2010.04.25
  • DECODER
    POST REPORTThe usage of Xilins ISE on Spartan-3자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/ ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.26
  • BCD to EXCESS-3 CODE CONVERTER
    POST REPORTBCD to Excess-3 code converter자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/SEARCH ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • FF, SP CONVERSION
    POST REPORTF/F & S-P conversion자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/SEARCH/ART/FPGA.HTM ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장
    리포트 | 14페이지 | 1,000원 | 등록일 2010.03.26
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션 및 구현을 위한 Implementation 과정을 익혀본다.그리고 PROM파일 생성 후에 실제 보드에 ... Specify the experiemental results obtained in the class in detail.< 이번 실험에 사용 되었던 SPARTAN-3E Board의 모습 ... 이루어졌는지, 이루어 지지 않았다면 왜 결과가 그런지에 대해 분석해 보도록 한다.실험 도구personal computer, Xilinx ISE 9.2i program, Spartan-3 Board
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • ADDER COMPARATOR
    POST REPORTAdder & Compartor자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/SEARCH/ART/FPGA.HTM ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장
    리포트 | 21페이지 | 1,000원 | 등록일 2010.03.26
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    보드에 구현하는 절차에 대해서 간략하게 정리하고, 실제 실험시간에 직접 해봄으로써 이해하도록 한다.1. JTAG cable 을 pc와 Board 사이에 연결한다.2. ... 실험실에서 직접 보드에 구현하는 작업만이 남았다. ... 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션 및 구현을 위한 Implementation 과정을 익혀본다.그리고 PROM파일 생성 후에 실제 보드
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • 영화 속 특수 효과 ( 300 )
    스파르타 정예군과 테스피스인 700명을 이끌고 페르시아군의 남하를 저지하였다.그러나 이 고장 출신의 내통자가 페르시아군에게 산을 넘는 샛길을 가르쳐 주어 수만이 몰려왔고 이에 최후의 스파르탄 ... 승낙할 수밖에 없었다.이제 제작진은 현실적인 영화 제작의 전형을 피하는 대신 ‘스크린에서 살아 숨쉬는’ 영화를 만드는 방법을 찾아 나섰다.그리고 이에 잭 스나이더가 직접 영화의 스토리보드 ... 우선 감독이 만든 스토리보드를 출발점으로 3D작업 환경을 만들고 스파르타와 그리스 지형, 전투의 현장이었던 테르모필레에 대한 구상 작업에 착수했다.지형 세트는 추상적이어서 카메라 앵글을
    리포트 | 10페이지 | 5,000원 | 등록일 2007.05.28
  • [감상문]역사 영화 평론
    더욱이 이 영화는 감독 잭 스나이더가 영화 스토리보드 작업을 맡았고, 원작 ‘만화’의 작가인 프랭크 밀러가 영화의 총 제작 지휘를 맡았다. ... 이 외에도 영화 속 페르시아의 후예라 할 수 있는 이란에서 항의가 들어올 만큼의 ‘스파르탄’과 페르시안의 이분법 적 묘사로 저급하고 잔인하기만 한 동양세계와 현명하고 정의로운 서구세계를
    리포트 | 7페이지 | 1,500원 | 등록일 2007.06.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대