• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(13,545)
  • 리포트(11,711)
  • 자기소개서(949)
  • 시험자료(477)
  • 방송통신대(241)
  • 서식(78)
  • 논문(77)
  • ppt테마(6)
  • 이력서(4)
  • 기업보고서(1)
  • 노하우(1)

"신호등설계" 검색결과 1-20 / 13,545건

  • PIC Microcontroller를 이용한 신호등 제어 설계
    해양환경안전학회 양윤석, 브엉득푹, 안병원, 배철오
    논문 | 3페이지 | 3,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 교육공학, 수업지도안 설계, 안전하게 신호등 건너기
    【교육공학 】수업지도안■ 학번 :■ 성명 :■ 교수목표 : 초등학교 1학년 학생들은 신호등이 설치된 횡단보도를 안전하게 건 널 수 있다.학습주제교사 활동학습자 활동유의점 및 교수자료시간학습동기유발 ... 보여준다.* “동영상에서처럼 나에게 사고 가 나면 어떤 점이 힘들 것인지” 학생들에게 질문한다.* 교사의 질문에 2-3명이 간단하게 답변한다.* 애니메이션 동영상5분학습목표인지* 신호등이 ... * 교사의 질문에 2-3명이 간단하게 답변한다.* 차도, 인도, 횡단보도가 포함된 사진5분학습 내용제시* 흰색, 파란색, 빨간색을 구별해 보고, 횡단보도의 개념을 설명해 준다.* 신호등에서
    방송통신대 | 3페이지 | 3,000원 | 등록일 2024.03.06
  • 교통신호등 제어기 VHDL 설계
    ▣ 교통신호등 제어기 설계Ⅰ. 수행 및 제출(1)Ⅱ. 수행 및 제출(2)Ⅲ. 수행 및 제출(3)Ⅳ. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지 ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 디지털시스템설계 신호등설계 VHDL A+자료
    상태NEXT_STATE : 다음상태에 올 신호등 변화여부NEXT_STATE_WORD : 다음상태에 올 신호등 상태입력 값 SIG_V와 SIG_P의 값을 저장하는 SIGVorP의 값이 ... ‘RST=1’ 일 때, 기기 초기화 조건이므로 ‘SIGVorP’에 초기조건 ‘zero’라는 값을 저장한다.또는 현재상태가 ‘D‘ 상태, 즉 AVE STREET과 보행자 신호등의 초록등이 ... CLK RST SIG_VSIG_P
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • [조선대 메카트로닉스설계 A+] Labview 코딩설명서 : 신호
    신호등 프로그램1) 신호등 프로그램 UI2) 신호등 프로그램 구현(1) Main Source Code(2) 사용자 컨트롤- 신호등 상태(3) Sub VI- 신호등 조건체크메카트로닉스설계 ... REPORT메카트로닉스설계 코딩설명서담당교수 :수강과목 : 메카트로닉스설계01분반학과 : 기계공학과학번 :이름 :제출일 : 2020년 5월 25일 월요일< 차례 >1. ... 그리고 왼쪽에 구현한 신호등으로 상태에 대한 신호등의 색이 점등하게 된다.1) 신호등 프로그램 UI① 신호등 표시를 위한 클러스터불리언 컨트롤 사용하였고 클러스터를 이용해 묶어주었다
    리포트 | 8페이지 | 1,500원 | 등록일 2020.08.14
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    목표-조건에 맞는 Traffic lights controller를 설계하고 VHDL을 이용하여 구현할 수 있다.1) Code analysis■ 10Hz가 너무 느려서 교수님께서 말씀하신 ... signal을 정의하였습니다.--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 신호설계
    동시에 띄웠다.TFT-LCD에서 파란색불일때 LCD화면에 파란색 신호등을 띄우고 빨간색불일때 에는 빨간색신호등을 띄운다.6조♥Trade-OffPiezo 소리를 조절해 주기 위해서는 ... 결 과6조♥설 계 내 용설계 주제에 맞도록 7-segment, LED, Text-LCD, Piezo, TFT-LCD, 스텝모터 등 구현방법 익히고 보행자를 위한 신호등 제작6조♥소스 ... 6조♥“우리에게 필요한 보행자 신호등”6조♥목 차1. 설 계 내 용 2.소 스 분 석 3. Block Diagram 4. Trade Off 4.
    리포트 | 15페이지 | 2,000원 | 등록일 2015.01.22
  • 신호설계
    결과 검토모든 응력이 허용응력을 만족함처음에 저희가 찾은 신호등에는 신호등만 3개인데다가 케이블까지 붙어져 있어서 설계하는데 꽤 많은 어려움을 가졌습니다. ... 토목이란 것이 쉬운건 아니지만 할때마다 느끼는점이 상당히 많다는 것을 다시한번 느끼게한 과제였습니다.처음 신호등설계라는 레포트를 받앗을때 어떡게 해야할지 막막햇습니다. ... 그래서 방학 중에 만난 후 신호등을 둘러보니 다 똑같은 모양을 하고 있었다. 그래서 어느 신호등을 고를 것인지 문제였다. 그리고 가까운 신호등부터 둘러보았다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.10.31
  • 고급디지털회로 신호설계
    - 과제 제안서일정한 시간에 따라 경로에 대한 신호를 FPGA보드로 구현한다. ... 이름에 의한 신호 연결traffic U2 (.mclk(mclk3), .clr(clr), .lights(Led) );endmodule--------------------------- ... 연결 변수의 선언wire mclk3;wire clr;assign clr = btn[3];clkdiv U1 (.mclk(mclk), .clr(clr), .mclk3(mclk3));//신호
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.22
  • <<[AVR] 교차로 신호등 시스템 제작>>교차로 신호등,사거리 신호등,소스코드,회로도,ATmega128,신호등의 원리,동작 타임차트,4색 신호등,신호체계,신호등 순서,전자 신호설계
    사지 교차로에서 신호등은 도로신호등은 4개, 보행자 신호등 4개가 존재하며(중복되는 신호등 제외) 신호등은 [ 적, 황, 녹(좌회전), 녹 ]의 4색 체계를 사용한다. ... 타임차트를 통해 교차로 신호등의 기본 시스템에 대해서 알아보고 교차로 모형에 LED를 배치하여 도로 신호등과 보행자 신호등을 구현하여 교차로 신호등 시스템의 원리와 동작에 대해서 알아보도록 ... 타임 차트에 표현되었듯이 교차로 신호등 시스템은 6단계의 과정을 순차적으로 반복한다. 그리고 각 과정마다 신호등의 진행시간을 가진다.
    리포트 | 15페이지 | 4,000원 | 등록일 2016.12.26 | 수정일 2017.04.20
  • SVA를 이용한 교통 신호등 로직 설계
    구현된 교통 신호등 논리 회로를 SVA를 이용하여 상태 천이를 check하는데 있다.
    리포트 | 3,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
  • 스마트 신호등 - Smart traffic lights Design - VLSI설계
    설계 결과 2 계획서와 설계작품 비교분석 및 고찰 최대 6bit 를 이용한 카운터와 비교기를 이용하여 신호등 4 개를 전부 설계 신호등 4 개 계획서 신호등 1 개 신호등 한 개를 ... 설계배경 설계 결과 결론 및 제작후기 1 2 3설계 배경 1 설계작품의 필요성설계 배경 1 설계목적 ○ 교통체증을 해결 ○ 교통량에 따라 신호등 제어 ○ 교통사고를 완화설계 배경 1 ... 비교기를 이용하여 하나의 스마트한 신호등을 구현 .
    리포트 | 19페이지 | 3,500원 | 등록일 2017.11.16
  • 사거리신호등 디지털회로설계 프로젝트
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 설계과제를 수행하였다. ... 연구내용2.1 개념도2.2 설계 주요내용타이머 설계타이머에 나온신호를 10진카운터에 입력세그먼트에서 AND게이트로 신호를 묶어준다인버트를 통해 받은신호를 OR게이트로 묶어준다신호등 ... 신호등에서 사용되는 카운터와 세그먼트, 타이머 등에 대하여 더 자세하게 알 수 있는 기회가 된다.
    리포트 | 4페이지 | 3,000원 | 등록일 2014.12.21
  • 설계1 신호등시스템
    신호설계문제[문제 설명]? 아래와 같은 사거리와 신호등이 있다. 주도로에 교통량이 많고 부도로는 가끔 자동차가 다닌다.? ... 신호등이 녹색이었다가 다른 도로가 통행되어야 할 때 녹색등은 적어도 긴시간(10초) 켜져있어야 한다.? ... 부도로 신호등 : Rs, Ys, Gs? 부도로 자동차 진입 감지신호 : Vs? 긴시간 타이머 : 트리거신호 TL, 펄스신호 PL?
    시험자료 | 8페이지 | 1,500원 | 등록일 2011.09.16
  • 논리회로 카운터 신호설계
    (설계에 있어서는 하나의 신호등만 표현했으므로, 빨간 신호는 1clock만 표현 하였습니다.) ... 따라서 4bit Counter을 통해서도 신호설계를 할 수 있다는 것을 알 수 있었습니다. ... 이번 설계에 사용한 카운터는 동기식 4비트 UP 카운터로서 0000->1111까지 순차적으로 변하게 되는 카운터입니다.◇ 개요주제 : (Counter를 사용한) 4거리 신호설계조건
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • [디지털신호처리][DSP] 디지털 필터 설계(LPF,버터워스,체비셰프,백색잡음 등)
    02 백색소음 05 디지털 신호처리 고찰사항 06 목적 01 Filter 가 무엇인지 알고 , 디지털 필터를 설계해 본다 . 디지털 신호처리 Filter 란 ? ... 디지털 신호처리 디지털 필터 는 특정한 성질의 결과를 얻기 위해서 이산신호를 처리하는 장치나 알고리즘을 말한다 . ... 02 필터 는 입력되는 신호의 주파수 , 위상 , 진폭 중에서 특정한 범위 내의 주파수를 갖는 신호를 추출하거나 제거한다 .
    리포트 | 18페이지 | 2,500원 | 등록일 2018.05.01 | 수정일 2019.12.04
  • verilog HDL을 사용한 신호등 for 교통체증 설계~!
    - Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 신호등의 신호를 변화시킴Keypad- 임의의 값을 설정하여 Password 역할을 함Piezo- ... Segment에 표시LED- Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 LED의 출력 값 변화Bus SW- 임의의 값을 설정하여 Password 역할을 함신호
    리포트 | 3,000원 | 등록일 2015.01.01
  • 교통신호설계를 위한 SVA와 PSL의 이용 예제
    리포트 | 4,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대