• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(12,933)
  • 리포트(11,369)
  • 자기소개서(628)
  • 시험자료(608)
  • 방송통신대(242)
  • 논문(62)
  • 서식(19)
  • 이력서(2)
  • ppt테마(2)
  • 기업보고서(1)

"신호등 제어" 검색결과 1-20 / 12,933건

  • PIC Microcontroller를 이용한 신호등 제어 설계
    해양환경안전학회 양윤석, 브엉득푹, 안병원, 배철오
    논문 | 3페이지 | 3,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 교통신호등 제어기 VHDL 설계
    ▣ 교통신호등 제어기 설계Ⅰ. 수행 및 제출(1)Ⅱ. 수행 및 제출(2)Ⅲ. 수행 및 제출(3)Ⅳ. ... 수행 및 제출(4)교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    .--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 신호등제어회로
    권상 제어 회로2. 컨베이어 제어 회로3. 양수 펌프 제어 회로5. 전동기 운전 회로4. 신호등 제어 회로단원 지도 계획OHP 및 LCD 프로젝터차시안내5. ... 정지 신호 PBS2를 줄 때까지 반복한다.신호등 제어 시퀀스도각 부 동작 설명PBS1을 ON-OFF 조작하면 R1과 T1이 여자 되어 신호등 제어 회로의 동작이 시작된다. ... 지정된 좌석을 이탈하지 않는다.이 시간의 학습목표신호등 동작원리를 말할 수 있다.신호등 제어 회로를 만들 수 있다.신호등의 역사1838년 영국의 한 지방도시에서 3색 신호등을 이용한
    리포트 | 24페이지 | 1,500원 | 등록일 2010.12.20
  • 사거리 신호등제어 동작설명
    예제: 좌회전신호가 있는 사거리 신호등제어1. ... 차로 보행신호등은 A 차로 차량 신호등이 적색이고 B 차로 청색등일때 10 초간 점등됩니다.B 차로 보행신호등은 B 차로 차량 신호등이 적색이고 A 차로 청색등일때 10 초간 점등됩니다 ... ※개별 좌회전 신호등에서는 동일 차로의 양방향의 신호등은 동일하게 동작합니다.2.
    리포트 | 4페이지 | 5,000원 | 등록일 2013.09.05 | 수정일 2017.01.15
  • [A+자료] 신호등 제어
    실험제목교통신호제어기2. 실험개요교통량이 많은 사거리가 있다. 사거리의 각 방향에 맞춰 N, S, W, E라고 할 때 각 거리마다 신호등이 설치되어 있고, 횡단보도 또한 있다. ... (신호등 4개, 횡단보도 4개) 신호등의 점멸 순서는 ←G(좌회전과 녹색등) ⇒ YG(황색등과 녹색등) ⇒ R(적색등)의 순서로 켜진다. ... (←G는 장기신호, YG와 R은 단기신호)횡단보도로 보행자가 건널 수 있는 경우는 North 방향을 기준으로 했을 때, 차 신호등의 좌회전과 녹색등이 켜져 있는 동안에 West 방향의
    리포트 | 2페이지 | 2,500원 | 등록일 2012.06.17
  • 신호등 제어 테스트
    종 합 설 계( 한백 키트를 이용한 신호등 제어 테스트 )과목 :학과 :학번 :성명 :지도교수님 :1. ... 삼거리 신호등 제어 소스module CONTROL(CLK,RST,B1,G1,Y1,R1,B2,Y2,R2,G3,Y3,R3);input CLK,RST;output B1,G1,Y1,R1,B2
    리포트 | 6페이지 | 2,000원 | 등록일 2009.07.20
  • LED 제어신호등 만들기
    실험 제목LED 제어신호등 만들기2. ... 만들기6) 신호등 제어 프로그램#include ... SHIFTR(); // X1 스위치="1"인 경우else temp = 0xff; // X0,X1 스위치="0"인 경우}while(1); // 무한 루프4) LED 흐름도 및 작동 사진5) 신호등
    리포트 | 7페이지 | 1,000원 | 등록일 2011.10.13
  • 신호등제어기 Verilog
    신호등 제어기】문제 - 신호등 제어기교차로에서 신호등이 4개가 있음. ... 신호등이 함께 움직이고 동쪽과 서쪽의 신호등이 함께 움직인다.따라서, 4개 신호등은 2개의 신호등으로 제어기를 만들면 된다. ... (좌회전은 고려하지 않음)신호등은 녹, 주, 빨이 있는데 녹색은 10초, 주황색은 2초, 빨간색은 12초동안 유지하도록 신호등제어하시오.① State Diagram북쪽과 남쪽의
    리포트 | 5페이지 | 2,000원 | 등록일 2009.04.21
  • FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    신호등 제어기 설계1. ... 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음). ... 고찰본 과제에서 신호등 제어기를 Moore state machine으로 구현하였는데, Moore state machine은 출력이 state에 따라 나타나기 때문에 다음 state를
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 제목 : 4차선 신호등 제어기 설계2. ... 실습 목적 :앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을 ... 실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4.
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • [디지털시스템] 신호등 제어
    일상생활에주로사용되고쉽게접할수있는사거리신호등제어기를제작. TTL-IC를이용하여직접회로설계하고PCB위에구현하여동작.
    리포트 | 20페이지 | 5,000원 | 등록일 2008.12.27
  • vhdl 센서를 이용한 신호등 제어
    )A도로신호등(황색,FND 0) B도로신호등(적색)A도로신호등(적색) B도로신호등(녹색,FND 8)A도로신호등(적색) B도로신호등(녹색,FND 7)A도로신호등(적색) B도로신호등(녹색 ... (녹색,FND 2)A도로신호등(적색) B도로신호등(황색,FND 1)A도로신호등(적색) B도로신호등(황색,FND 0)A도로신호등(녹색) B도로신호등(적색)A도로신호등(녹색) B도로신호등 ... ,FND 5)A도로신호등(적색) B도로신호등(녹색,FND 6)A도로신호등(적색) B도로신호등(녹색,FND 4)A도로신호등(적색) B도로신호등(녹색,FND 3)A도로신호등(적색) B도로신호등
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • MPU를 이용한 신호등 제어, 교차로 신호등 구현, 8051을 이용한 신호등 제어
    설계 정의 및 목표1) 개요 8051를 이용하여 4거리 교통 신호 등과 횡단보도 신호를 설계하고 실제 신호등과 똑같이 구현.1. ... 설계 정의 및 목표2) 설계 목표 - 교통 신호등 구현 - 횡단보도 신호등과 음성 출력 - 녹음/재생 IC ISD2532 와 8051 간의 인터페이스 구현2. ... 구현 - 횡단보도 신호등과 음성 출력 - 녹음/재생 IC ISD2532 와 8051 간의 인터페이스 구현8051메인보드를 이용하여 4거리 교통신호등의 모형 구현함 자동차신호는 적색
    리포트 | 28페이지 | 1,000원 | 등록일 2008.04.11 | 수정일 2015.09.30
  • 자일링스(Xilinx)를 이용한 신호등 제어기 설계
    ) 센서를 통한 교통시스템 제어교통신호등을 보면 빨간색,초록색,노란색, 좌회전 등이 반복되는 것을 볼 수 있다. ... 내용2.1 목표설정사거리 신호등 제어(4거리에 신호등이 있고, 그에 따른 횡단보도가 각각 있으며, 센서로 교통량을 감지)우선 위에서 보이는 것처럼 신호등은 빨강, 노랑, 좌회전, 초록의 ... 4개의 신호를 가진다.1) 사거리 제어와 횡단보도우선 왼쪽의 그림을 보면 사거리에 4개의 신호등이 있고 8개의 횡단보도가 있는것을 볼 수 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • [교통신호 제어시스템]신호등
    교통신호 제어시스템 설계-프로젝트 결과보고서--9조-20055290 정승호20055287 정경현1.프로젝트의 목적- 이 프로젝트는 클럭 발생기와 카운터와 디코더와 엔코더를 중심으로 ... 쉽게 설명하면 마주보고 있는 두 신호는 항상 신호가 같지만 좌, 우 신호등과는 다르다.하지만 좌,우 신호등 또한 서로 항상 신호가 같기 때문에 원래 신호등은 4신호 신호등은 4개이지만 ... 즉, 서로 마주 보고 있는 신호등끼리 같은 신호를 받게 될 것 이다.횡단보도 신호등왼쪽 그림과 같이 횡단보도 신호등이 있다. 이 신호등은 앞으로 T,T 들어오게 모아준다.
    리포트 | 23페이지 | 2,500원 | 등록일 2006.10.28
  • [Flowrian] 신호등 제어 회로의 Verilog 설계 및 시뮬레이션 검증
    .- 타이머 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 신호등 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 최상위 신호등 제어 회로 : 구조수준
    리포트 | 16페이지 | 1,500원 | 등록일 2011.10.03
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    실험이론이번 실험에서는 신호등제어하는 제어기를 설계할 것이다. ... 제어기는 고속도로(Highway)와 국도(Farmway)의 교차로를 모델로 하여 국도 양 끝에 존재하는 센서의 입력에 따라 신호등의 상태가 결정되도록 제어하는 기능을 한다.초기에 ... 실험과정 및 소스코드.위에서 설명한 것과 같이 이번 실험에서 유한상태머신을 이용하여 신호등 제어기를 설계할 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 플립플롭으로 신호등 만들기 제어(디지틀논리)
    목표 : 플립플롭을 이용한 신호등 제어기 작성.◆ Flip-Flop4bit동기 업 카운터를 만들기 위하여 JK F/F를 사용. ... ●FLIP FLOP-클럭 펄스가 나타나기 바로 이전의 입력이 출력에 반영되어 다음클럭 펄스가 나타날 때까지 그 상태를 유지●타이밍 순서디지털 시스템의 동작을 제어하는 제어기는 제어신호를 ... 제어기에서 사용하는 타이밍 신호는 카운터나 시프트 레지스터를 이용하여 생성시킬 수 있다.●카운터(counter)?
    리포트 | 13페이지 | 2,000원 | 등록일 2009.11.15
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:51 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기