• 통큰쿠폰이벤트-통합
  • 통합검색(4,670)
  • 리포트(3,547)
  • 자기소개서(854)
  • 시험자료(118)
  • 방송통신대(98)
  • 논문(24)
  • 서식(21)
  • ppt테마(4)
  • 이력서(3)
  • 노하우(1)

"신호등 프로젝트" 검색결과 1-20 / 4,670건

  • PLC를 이용한 신호등 구현(졸업 프로젝트 과제)
    '미쯔비시 PLC'와 '미쯔비시 HMI'를 이용한 신호등 구현입니다.PLC파일 및 HMI파일이 같이 포함되어있으며, 해당 신호등의 가동 조건도 포함되어 있으므로, 구매자가 임의로 타이머를
    리포트 | 5,000원 | 등록일 2021.01.17 | 수정일 2021.01.22
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    .--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 사거리신호등 디지털회로설계 프로젝트
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 설계과제를 수행하였다. ... 신호등에서 사용되는 카운터와 세그먼트, 타이머 등에 대하여 더 자세하게 알 수 있는 기회가 된다. ... 연구내용2.1 개념도2.2 설계 주요내용타이머 설계타이머에 나온신호를 10진카운터에 입력세그먼트에서 AND게이트로 신호를 묶어준다인버트를 통해 받은신호를 OR게이트로 묶어준다신호등
    리포트 | 4페이지 | 3,000원 | 등록일 2014.12.21
  • 실험 프로젝트-신호등 제작과정 보고서
    Traffic Lights - Final Project1. Explanation of the Traffic Lights① Crosswalk lights : Red LED, Green LEDLoad lights : Red LED, Yellow LED, Green LED②..
    리포트 | 17페이지 | 4,000원 | 등록일 2014.08.18
  • 항공대 opencv관련 신호등 인식기 텀프로젝트
    항공대 멀티미디어공학 텀프로젝트 입니다. opencv이용 신호등 인식기를 제작하였습니다.
    리포트 | 10,000원 | 등록일 2014.12.09
  • VHDL을 이용한 신호등 설계 프로젝트
    보행자 신호등에 동시신호가 발생하며, 동, 서, 남, 북 네 방향을 주기적으로 전환하며 신호가 발생한다.② 기본신호등에 차량의 누적된 차량의 수에 따라 신호의 길이가 조절 가능하게 ... 실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량신호등과, ... / blink_crosswalk / attention 상태전환Process2신호분배기 : (북->동->남->서)의 순서로 신호등을 활성화시킨다.
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • 마이크로프로세서 신호등프로젝트(한기대)
    본 자료는 보고서에 들어있는 이미지와 이미지의 주석과 프로그램의 주석에 함께 설명되어 있는 것 중 일부만 발췌한 것이며 구매하시면 이미지와 같이 볼 수 있습니다.Iseg7는 추가동작(세그먼트)를 위하여 사용하였고, LCD 파일을 사용한 것을 제외하면 메인(PSH) 파일..
    리포트 | 29페이지 | 5,000원 | 등록일 2011.07.19
  • 전자회로실험 프로젝트 신호등
    제작 후기..PAGE:3제작 동기신호등의 동작 원리에 대한 이해신호등 제작에 사용되는 각 소자들의특성 및 사용 방법에 대한 이해응용 회로 제작 시 활용 방안..PAGE:4제작 방향결론도출자체제작시행착오회로이해소자역할정리 ... 신호등 회로도 8. 최종완성 영상4. 완성 사진 9. 역할 분담5. 1차 결과 10. ... 회로 구상펄스 발생 비 안정 회로의 신호가 카운트 전환회로로 들어감카운터 및 데이터 설정회로의 신호와 같이7-segment 디코더 드라이버로 들어감7-segment 에서 신호등
    리포트 | 11페이지 | 3,000원 | 등록일 2008.12.11
  • 김재석 디지털 논리, 신호등, staffic light controller, STLC, Flowian 프로젝트
    .* local road에 차가 있으면 Highway green이 60초 이상일 경우일 때 local load 신호가 yellow으로 바뀐다.* local road에 차가 없으면 highway
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.23
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. ... 세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다. ... 기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • IC소자를 이용한 디지털회로 설계 텀프로젝트-사거리 신호등
    NE555의 출력 공식은 첫 번째 텀 프로젝트에서 쓴 바와 같다.3. 블록도1. 작품 구성 시 문제점LED의 부족으로 사거리 신호체계중 반만 실제 설계 하였다. ... 구상작품의 동작신호등의 점등은 빨, 빨·노, 초, 노·초, 빨 의 순서로 순환하도록 하였고 그에 따라 보행자 신호등도 빨, 초 의 순환을 하도록 하였다. ... 작품 구상LED를 사용하여 작품을 만들어 보고자 하다가 사거리의 신호등을 보고 직접 구현해 보고싶어 제작에 임하게 되었다.2.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.06.11
  • IC소자를 이용한 디지털회로 설계 텀프로젝트 ppt발표자료-사거리 신호등
    그러다 신호등의 점등되는 기계적움직임에 시연 가능성이 있을 것으로 생각되어 작품구상에 들어가게 되었다. ... 제작동기저번과 마찬가지로 지금껏 우리가 배웠던-논리게이트, 여러가지 플립플롭, 멀티플렉서, 디멀티플렉서, FND, 카운터등을 사용하여 만들 수 있는 간단한 작품을 실제구동에 성공하자는
    리포트 | 12페이지 | 2,000원 | 등록일 2010.06.11
  • [자동차 프로젝트]교육계획안, 창의활동지, 월간교육계획안, 교통기관, 도구와 기계, 주제선정의 이유, 주요개념, 주제망, 자동차의 종류, 교통안전, 신호등, 횡단보도, 자동차의 생김새
    - 자동차 탈 때 지켜야 할 약속- 자동차 안전표지판- 신호등과 횡단보도자동차‘자동차’프로젝트m자유선택활동【쌓기】?주차장 만들기?자동차 구성하기?자동차가 다니는 길【역할】? ... 이럴 때 신호등은 무슨 색일까요?☜ 사람들이 지켜야 하는 신호등? 자동차가 지켜야 하는 신호등사람들의 신호등과 자동차의 신호등을 색칠해주세요.? ... 자동차가 지켜야 하는 신호등☜ 사람들이 지켜야 하는 신호등사람들의 신호등과 자동차의 신호등을 색칠해주세요.년 월 일 요일 [날씨]유치원 반이름?
    리포트 | 49페이지 | 10,900원 | 등록일 2009.07.22 | 수정일 2020.05.31
  • 디지털시스템설계 신호등설계 VHDL A+자료
    상태NEXT_STATE : 다음상태에 올 신호등 변화여부NEXT_STATE_WORD : 다음상태에 올 신호등 상태입력 값 SIG_V와 SIG_P의 값을 저장하는 SIGVorP의 값이 ... ‘RST=1’ 일 때, 기기 초기화 조건이므로 ‘SIGVorP’에 초기조건 ‘zero’라는 값을 저장한다.또는 현재상태가 ‘D‘ 상태, 즉 AVE STREET과 보행자 신호등의 초록등이 ... CLK RST SIG_VSIG_P
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 중앙대학교 ASIC 미니프로젝트
    [Falling_Edge_Detector.v]module Falling_Edge_Detector(sequence_in,clock,reset,detector_out);input clock; //clock signalinput reset; //reset inputinput..
    리포트 | 13페이지 | 5,000원 | 등록일 2022.01.21 | 수정일 2022.05.03
  • 디지털 및 순서 논리 회로 프로젝트
    디지털논리 - 순서논리 회로 프로젝트⊙ 요구 사항 (과제 목표 및 개념도)⊙ 배경 지식 (용어 정리: 순차회로, 무어 머신 등..)⊙ 설계 내용? 상태 정의와 상태 전이? ... 밀리 머신 : 현재 상태와 입력신호에 의해서 출력을 결정⊙ 설계 내용◎ 상태 정의와 상태 전이: 출석 번호 = 23번 , 2진수의 6비트 = 010111?₂?S? ... din'◎ 회로도⊙ 결론* 요약 정리순서논리회로 : 출력은 현재상태의 입력과 이전상태의 출력에 따라 결정.신호의 타이밍으로 동기/비동기 순서논리회로로 나눔.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.07.02
  • LG전자 VS본부 HW설계 합격 자기소개서
    신호및시스템, 통신공학 등 수강한 모든 회로와 통신, SW과목에서 A+학점을 달성했습니다. ... FPGA 검증을 진행하였습니다. 2주동안 APB-IP를 설계하기위해 신호등 제어, 가산, 곱셈기를 설계하고 simulation을 통해 작동여부를 검증하였습니다. ... 학생의 본분을 다하며 여러 공모전, 프로젝트에 참여하여 팀원들과 협동하고 좋은 결과물을 만들어냈습니다.
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • 부산대학교 전기전자기초실험및설계 텀프로젝트 보고서
    목표방향지시기는 커브가 심한 도로를 운전하는 운전자에게 순차적인 점등을 통해 효과적으로 방향을 전달하며, 해당 도로의 상황을 알려줌으로써 사고를 미연에 방지하는 데 활용될 수 있다.이를 ... Output9까지 신호가 가고 난 뒤 output9 신호가 reset 과 연결되어 다시 output0으로 돌아간다. ... to high 신호는 ouput0이 1이되고 두번째 low to high 신호가 output0는 0으로 output1은 1로 만들어준다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.19
  • LG 실리콘웍스 합격 자기소개서 + 면접 Q&A + 기업 조사
    직무 역량과 경험에 대하여본인이 지원한 직무와 관련된 경험 및 역량, 관심사항 등 자신을 어필할 수 있는 내용을 기반으로 자유롭게 기술해주시기 바랍니다. (500~1000자)[면접
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.11.06 | 수정일 2021.07.27
  • ATMEGA128을 이용한 초음파 신호등 만들기 레포트(코드 포함)
    프로젝트 동기 및 목적최근 주변에서 음성이 나오는 신호등을 어렵지 않게 찾을 수 있다. ... 기다리고 있다는 인식이 되면 초록불로 변하게 하는 프로젝트를 구상하였다.그 결과 우리는 적외선 센서 대신 초음파 센서를 이용하여 신호등의 불빛을 변화시키고 LCD 화면을 통해 점등되고 ... 프로젝트 진행 과정초음파 센서를 통해 신호등을 동작하도록 계획하여 Pspice를 이용하여 회로를 구성하였다.초음파 센서로 거리를 측정하여 적색 LED와 녹색 LED가 점등될 수 있도록
    리포트 | 16페이지 | 3,500원 | 등록일 2024.06.26 | 수정일 2024.07.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대