• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,320)
  • 리포트(1,246)
  • 시험자료(42)
  • 논문(16)
  • 방송통신대(10)
  • 자기소개서(4)
  • 서식(2)

"실험12 4비트" 검색결과 1-20 / 1,320건

  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    실험 12. 4-비트 산술논리회로(결과보고서)실험 결과(1) Pspice를 이용하여 의 (a)와 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) ... 시뮬레이션을 한 다음 심볼화 하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 ... 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(8) 실험 4와 실험 5 및 실험 7에서 설계된 심볼을 이용하여 의 4비트 산술논리회로를 그리고 시뮬레이션을 한 다음 심볼화
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    실험 12. 4-비트 산술논리회로(예비보고서)실험 목적(1) ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 ... .(5) 실험 1과 실험 3에서 설계된 심볼을 이용하여 의 4비트 산술 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라. ... 시뮬레이션 결과를 인쇄하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 서강대학교 23년도 마이크로프로세서응용실험 4주차 Lab04 결과레포트 (A+자료)
    마이크로프로세서응용실험 4주차 실험 보고서목적- 논리, 연산, 곱셈, 나눗셈, shift, rotation과 같은 데이터 처리 명령어들을 수행시키며, 레지스터에 저장되는 결과와 flag의 ... }로 구성된 64-bit 수를 더하는 cods르 작성해보자.그림 13-1: 64-bit 덧셈 code우선 실험교재에 명시된 대로, data를 r0, r1, r3, r4에 load시켰다 ... 따라서 {r1, r0}와 {r3, r4}를 더해야 한다. line 12는 두 64-bit 수의 하위 32-bit끼리 더하고, 결과를 r0 레지스터에 저장하고 flag를update한다
    리포트 | 68페이지 | 1,000원 | 등록일 2024.03.24 | 수정일 2024.09.02
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 1
    즉 2비트 코드는 각각 4개의 입력 중 하나와 연결되어 해당 입력을 표시한다. ... 인코더- 기본 인코더인 4-to-2 인코더를 살펴보자. 밑의 사진은 4-to-2 인코더의 진리표이다. 진리표에는 4개의 입력에 따른 2비트 코드가 표시되어 있다. ... - 6번, 5번, 4번, 3번, 10번, 11번, 12번, 13번은 Input- 7번, 9번은 Output- 8번은 그라운드, 16번은 VCC- VCC로 4.75V(대략 5V)정도
    리포트 | 12페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 서강대학교 23년도 마이크로프로세서응용실험 11주차 Lab011 결과레포트 (A+자료)
    그 후 DMA channel4 ISR에서는 DMA enable을 0으로 만들어서 DMA 전송을 끝낸다.STEP 12:그림 12-1: Receiver의 결과program 11.2를 수행했더니 ... ADC functional descriptionfigure 11.11의 ADC는 12-bit resolution, 16개의 external, 2개의 internal source 채널을 ... 이를 통해서 최대 어느 주파수까지의 신호를 이 시스템이 처리할 수 있는지 실험적으로 확인해보자.과정, 결론그림 B-1: program 11.4에서 DMA 인터럽트 추가시간 측정을 위해
    리포트 | 24페이지 | 2,000원 | 등록일 2024.03.24
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    부호기는 출력값이 입력값()에 대한 그 비트값()이지만, 멀티플렉서는 그 비트값()의 입력값()이 출력값()이다.1.2 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer ... (1), , 를 각 bit라고 생각했을 때, 가 0이면 3bit의 수가 3이하 이므로 위쪽 4:! ... (1)이 8-to-1 멀티플렉서로 동작하는 원리를 자세히 설명하시오., , 를 각 bit라고 생각했을 때, 가 0이면 3bit의 수가 3이하 이므로 위쪽 4:1Mux에서 중에서 ,
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 홍익대 디지털논리실험및설계 5주차 예비보고서 A+
    있을 때 MSB-LSB 순서로 입력되었다고 생각하면 십진수로 12이고, LSB-MSB 순서로 입력되었다고 생각하면 십진수로 3이다.1.4 응용 실험 (2)의 회로를 순차적으로 연결하는 ... 이는 덧셈 연산에서의 올림을 나타낸 것이다.LSB 에서는 올림이 나타나지 않기 때문에 C_in을 0으로 고정한다.하지만 응용실험 (2) 에서는 두 개의 2비트 숫자와 한 개의 1비트의 ... 줄임말로 가장 높은 위치의 비트를 뜻한다.MSB는 전체 자릿수를 결정하는 가장 왼쪽에 위치한 비트이고, LSB는 가장 오른쪽에 위치한 비트이다.예를 들어 이진수로 1100라는 데이터가
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 디지털시스템설계 7-segments 실습보고서
    실험 제목FPGA 7-segments 구동 Design2. ... 실험목표FPGA 7-segments 구동 Design- 7-Segment LED Display 이해- 4-Digit의 7-Segment LED Display의 Rotate 동작의 이해 ... 실행된다. cnt4가 2비트 2진수 00일 때, FND_COM에는 4진수 2비트 1000이 저장된다. cnt4가 2비트 2진수 01일 때, FND_COM에는 4진수 2비트 0100이
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 디지털 회로 실험 및 설계 - ADC(Analog to Digital Converter) 실험 1
    OUTPUT(17번이 최하위 비트 LSB, 21번이 최상위 비트 MSB)- 11번핀이 VCC- 10번핀이 클럭- 12번핀이 REF+- 13번핀이 그라운드- ON이 되려면 5V정도 ... 데이터 시트 분석- 8-bit ADC -> 256개의 수 표현- 26, 27, 28, 1, 2, 3, 4, 5번핀은 INPUT- 17, 14, 15, 8, 18, 19, 20, 21번 ... 인가되어야 함4.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 논리회로의 간소화, 멀티플렉서를 이용한 조합논리 예비레포트
    실험 장비1) 논리회로의 간소화7400 NAND 게이트LED저항: 330Ω 1개, 1.0KΩ 4개4비트 DIP 스위치 1개2) 멀티플렉서를 이용한 조합논리74151A 멀티플렉서 데이터 ... 이 회로는 그림 12-2(b)의 회로보다 간단하나 동일한 기능을 한다.이번 실험에서는 8:1 MUX 하나를 이용하여 4-입력 진리표(16개 조합)에 대한 회로를 구현한다. ... 여기서 회로는 4비트용으로 설계하지만 레지스터 A를 위해 8비트로 쉽게 확장될 수 있다.2) 멀티플렉서를 이용한 조합논리멀티플렉서(multiplexer) 또는 데이터 선택기(data
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    Discussion- 실험(5)에서 4-bit full adder를 schematic하는 과정에서 실험(4)에서 schematic한 1-bit full adder를 symbol로 이용하였는데 ... A(Bus SW1~4), B(Bus SW5~8), Cin(Button SW1) / 출력은 Cout(LED1), S(LED9~12)ABCinCoutSum011110000011111100004 ... 때에는 실험(4)에서 schematic한 1-bit full adder와 실험 (2)에서 schematic한 half adder를 모두 symbol로 등록하여 설계해 주어야 한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • [서울시립대] A+ 전자전기컴퓨터설계2 2주차(Schemetic)결과레포트(예비레포트포함,시립대)
    교안에따라서 impact를 실시하자.Ⅲ.실험결과4-bits Ripple Carry Full Adder를 테스트 해보자. ... 전자전기컴퓨터설계실험 2결과 레포트실험 제2주(2021. 10. 12)Lab#02 『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool학번 ... 위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder를 설계하시오.1) 먼저 2번의 full adder를 create schemetic
    리포트 | 10페이지 | 1,000원 | 등록일 2021.12.30 | 수정일 2022.01.03
  • 서강대학교 마이크로프로세서 4주차 실험
    마이크로프로세서응용실험4주차 결과 보고서1. 실험 제목Data processing instructions2. ... saturation 결과 12, C=1으로 나타난다.- Step 12: 그림 4.6에서 소개된 64-bit 수의 덧셈을 구현하는 codes를 lines 8-9 위치에 작성한다. ... 실험 이론(1) 관련 instructions1) Logical instructions- AND : logical AND 연산을 위한 명령어, 관심 데이터의 특정 비트의 상태를 확인하거나
    리포트 | 23페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • 서강대학교 23년도 마이크로프로세서응용실험 10주차 Lab010 결과레포트 (A+자료)
    마이크로프로세서응용실험 9주차 실험 보고서목적- USART의 기본 동작을 전송속도, parity, stop bits 등을 변경하면서 확인한다.- Polling에 의한 데이터 전송을 ... 전기적인 특성도 TTL과는 다르게, logic 0는 +12V, logic 1은 -12V로 사용된다.2-2. ... 하지만 0x33과 같이, 1의 개수가 4개로 짝수인 경우, parity bit가 0으로 수신되었을 때 에러가 발생하지 않았다.USART1_CR1 PEIE bit가 0이기 때문에, parity
    리포트 | 36페이지 | 1,000원 | 등록일 2024.03.24 | 수정일 2024.09.02
  • 아주대학교 일반전자공학실험 Digital I/O A+ 결과보고서
    여기서 4비트는 저장하는 용량 즉 4비트수까지 세릴 수 있다는 것을 뜻한다. (2진수로 하면 0000-1111)이 실험에서 7493 칩의 아웃풋을 LED에 연결해주면 (Q1 pin12 ... Bit Digital Counter등등> 7493 4비트 2진 카운터의 아웃풋을 LED에 연결한 연결한 상태( Q1 to LED , Q2 to and LED , Q4 to LED , ... 5-2의 555디지털 클락 회로에 7493 4비트 2진 카운터를 삽입하여 회로를 구성하고, 4비트에서 하나씩 늘려가며 LED의 작동을 확인해보는 실험이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.05.14
  • 서강대학교 23년도 마이크로프로세서응용실험 7주차 Lab07 결과레포트 (A+자료)
    마이크로프로세서응용실험 7주차 실험 보고서목적- 인터럽트의 동작원리를 이해한다. ... EXTI0 handler의 동작은 0x20000000 data의 bit [4]를 1로 set하는 것이다. 따라서 두 handler는 resource를 공유한다. ... 수행되기까지의 지연시간이다. cortex-M3는 vector fetch와 stacking이 동시에 진행되기에, vector fetch, stacking, 명령어 fetch를 포함하면 최소 12
    리포트 | 36페이지 | 1,000원 | 등록일 2024.03.24 | 수정일 2024.09.02
  • 서강대학교 23년도 마이크로프로세서응용실험 8주차 Lab08 결과레포트 (A+자료)
    이론의 table 8.4에서 볼 수 있듯, GPIOx_CRH, CRL은 해당 port의 pin들의 동작 모드를 설정하는 configuration register이다.각 4bit마다, ... GPIO_ModeTypeDef먼저 GPIO configuration register를 설정하기 위해 enumeration type을 정의해보자. table 8.2를 참고하여 각 mode에 대한 4bit ... :그림 12-1: row 막대가 아래에서 위로 움직이는 코드그림 12-1의 코드는 row high register를 의미하게 되고, 이러한 표기를 통해 지정할 수 있게 된다.2)이번
    리포트 | 31페이지 | 2,000원 | 등록일 2024.03.24
  • 기초전자회로실험 (전체리포트)
    [실험 4]JK 플리플롭을 이용한 카운터를 제작하라.1) 2비트 카운터2) 4비트 카운터스위치의 정확도가 온다. ... [실험 2]V3의 전압을 0~12v 사이로 변화시키면서 Vgs와 Id를 측정하여 그래프를 그리고 그래프로부터 문턱전압을 구하시오.V30v1v2v3v4v5v6v7v8vVgs0v1.02v2.11v3.03v4.09v5.1v6.15v7.12v8.14vId01.19 ... [실험 3]V3의 전압을 6v, V2를 0~12v로 변화시키면서 Vds 전압, 드레인 전류 Id를 측정하여 Id-Vds 그래프를 그리시오.
    리포트 | 67페이지 | 6,000원 | 등록일 2024.07.17
  • 기초실험1 7 segment counter 결과보고서(틴커캐드)
    다음 실험은 counter를 이용해 입력되는 비트 수는 4이지만 출력은 0000부터 1001까지 변하는 counter를 구현하는 실험이었다. ... 그래서 저항(145옴)을 통해 전류의 양을 조절했다.2. counter(1) 4 bit decade counter: 4bit decade counter를 이용해 입력되는 값이 0000부터 ... (10)1011(11)1100(12)1101(13)1110(14)1111(15)실험결과, 0부터 9까지 입력되는 이진수와 대응되는 10진수가 7 segment LED에 디지털 숫자
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 서강대학교 마이크로프로세서 10주차 실험
    앞의 12비트는 USARTDIV의 Mantissa, 뒤의 4비트는 USARTDIV의 Fraction이다. ... OVER8이 0일 때 fractional part가 4비트로 코딩되고, USART_BRR 레지스터의 DIV_fraction[3:0] 비트로 프로그램된다. ... 데이터는 LSB부터 MSB까지 기준 clock에 따라 한 번에 한 비트씩 전송되며, 전송 속도가 빠를수록 각 비트의 유지 시간인 bit time이 짧아지게 된다.직렬 송수신 과정에서
    리포트 | 24페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대