• 통큰쿠폰이벤트-통합
  • 통합검색(290)
  • 리포트(252)
  • 시험자료(26)
  • 자기소개서(7)
  • 방송통신대(4)
  • 논문(1)

"엔티티설계" 검색결과 1-20 / 290건

  • 시스템분석설계_각 엔티티들이 가져야 하는 속성들을 정의하고 엔티티들의 관계를 엔티티 관계도로 표현하세요
    REPORT시스템분석설계어떤 회사 데이터는 다음과 같은 엔티티들로 구성되어 있다고 한다. ... 속성의 종류는 분해의 여부에 따라 단일 속성, 복합 속성, 다중값 속성으로 구분할 수 있으며, 특성에 따라 기본 속성, 설계 속성, 파생 속성으로 구분할 수 있다.(2) 엔티티 간의 ... 엔티티의 개념2. 엔티티가 가지는 속성과 엔티티들 간의 관계 정의3. 엔티티 관계도 도출4. 느낀 점5. 참고문헌1.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.07.01
  • 시스템분석설계_각 엔티티들이 가져야 하는 속성들을 정의하고 엔티티들의 관계를 엔티티 관계도로 표현하세요
    REPORT시스템분석설계어떤 회사 데이터는 다음과 같은 엔티티들로 구성되어 있다고 한다. ... 성립되므로 기본 키의 변경이 이루어질 경우에 속성의 값도 변경된다.속성의 종류는 분해 여부에 따라 단일 속성, 복합 속성, 다중값 속성으로 구분할 수 있으며, 특성에 따라 기본 속성, 설계 ... (엔티티: 회사, 사원, 프로젝트, 프로젝트에 필요한 부품, 부품의 공급자) 각 엔티티들이 가져야 하는 속성들을 정의하고 엔티티들의 관계를 엔티티 관계도로 표현하세요.학번이름- 목
    리포트 | 7페이지 | 3,000원 | 등록일 2024.05.08
  • 초고층건물의 통합구조설계시스템에서 STEP 엔티티 개발
    한국공간구조학회 송화철, 조용수, 김수환
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 데이터베이스모델링 리포트 (ER-D 설계 / 엔티티도출 / 논리적, 물리적 설계)
    취업 관리 시스템 (JOB MANAGEMENT SYSTEM)2 ■ 목차 개요 사전조사 요구사항분석 엔티티 도출 ER- 다이어그램 ER- 모델 : 논리적 설계 ER- 모델 : 물리적 ... 엔티티 도출 엔티티 명 포함 속성 개인회원 회원명 , 아이디 , 비밀번호 , 주민번호앞자리 , 주민번호뒷자리 , 전화번호 , 휴대폰번호 , 주소 , 이메일 , 홈페이지 주소 우편번호 ... 합격자정보는 각 조건 및 분류별 통계를 위해 필요하다 .9 ■ 엔티티 도출 4.
    리포트 | 21페이지 | 5,000원 | 등록일 2014.06.12 | 수정일 2019.07.07
  • 데이타베이스 구축 및 설계(엔티티정의, 엔티티관계정의, 테이블정의)
    티켓구매 엔티티의 관계 정의발매한다회원 엔티티와 상영관 엔티티의 관계정의관람한다상영관 번호객석 수상영영화 제목영화시간표상영관카드생성일주소주민번호생일날짜휴대폰번호회원카드 번호회원카드이름회원번호마일리지 ... 바이져매표직원검표직원직원카드생성일마일리지 점수▶회원 엔티티주소주민번호생일날짜휴대폰번호회원카드 번호▶티켓구매 엔티티주민번호이름회원카드무료구매티켓구매KTF 통신카드삼성 신용카드현대 신용카드KTF ... 점수▶회원 엔티티와 티켓구매 엔티티의 구매 관계구매한다티켓구매KTF 통신카드삼성 신용카드현대 신용카드KTF 통신카드롯대 신용카드신용카드통신카드할인구매LG 통신카드카드생성일주소주민번호생일날짜휴대폰번호회원카드
    리포트 | 14페이지 | 2,000원 | 등록일 2009.06.09
  • 쇼핑몰구축 데이터베이스설계 레포트(엔티티,관계,ERD,SQL문,질의어,관계)
    상품정보에는 상품번호, 상품명, 재고량, 판매가, 할인가를 저장한다.1-1 요구사항1-2 엔티티 정의엔티티엔티티설명관련속성회원회원에 관한 정보를 관리를 위한 엔티티.회원번호,비밀번호 ... 엔티티와 주문 엔티티의 신청 관계장바구니 엔티티와 상품 엔티티의 포함관계성명상품할인가재고량상품명판매가상품번호주문주문번호주문총액주문일자카드번호유효기간카드종류카드종류우편번호기본주소당부사항연락처배송지담는다주문 ... A생성일자질문번호질의응답회원 엔티티와 장바구니 엔티티의 선택 관계회원 엔티티와 질문 엔티티의 질문 관계성명회원회원번호비밀번호주민번호우편번호연락처기본주소유효기간카드종류카드번호신용카드배송지당부내용주문주문번호주문총액주문일자카드번호유효기간카드종류카드종류우편번호기본주소당부사항연락처배송지신청한다장바구니생성일자바구니번호상품할인가재고량상품명판매가상품번호담는다회원
    리포트 | 23페이지 | 3,000원 | 등록일 2009.05.09
  • 울산대학교 데이터베이스 설계 프로젝트
    개념적 설계 :엔티티/속성 정의서32.1 엔티티 분석서32.2 엔티티/속성 정의서43. 개념적 설계 : 엔티티별 정의 모델44. 개념적 설계 : 엔티티 간의 관계 분석55. ... 개념적 설계 : 엔티티별 정의모델고객 엔티티4. ... 개념적 설계 : 엔티티 간의 관계 ER 다이어그램56. 개념적 설계 : 최종 ER 다이어그램67. 논리적 설계 : 엔티티를 릴레이션 모델로 변환78.
    리포트 | 18페이지 | 2,000원 | 등록일 2021.12.11
  • 데이터베이스 모델링 과제 (개념/논리/물리 설계 및 테이블 정의서 포함)
    개념 설계 ……………………………………………………………………………………….………………. 51. ... 논리 설계 …………………………………………………………………………………………….………… 151. ... 물리 설계 ……………………………………………………………………………………………….………. 241.
    리포트 | 41페이지 | 3,000원 | 등록일 2020.08.18 | 수정일 2024.08.02
  • 대학에서 학생들의 수강 신청 관리를 위한 ERD를 작성하시오 서론
    관계는 ERD에서 마름모로 표현되며, 각 관계는 고유한 이름을 가진다.대학 수강신청 관리 ERD 설계엔티티 식별첫 번째 단계는 관련된 모든 엔티티를 식별하는 것이다. ... 교수 ID 및 코스 코드는 각각 교수 및 코스 엔티티를 참조하는 외래 키이다.결론이 레포트는 대학 수강 신청 관리를 위한 데이터베이스 설계에 중요한 도구인 엔티티-관계 다이어그램(ERD ... 데 도움이 되며, 데이터베이스 설계자와 사용자 간의 의사소통을 촉진하는 역할을 한다.
    리포트 | 4페이지 | 3,000원 | 등록일 2024.07.09
  • 데이터 모델링에 있어 ERD를 관계형 모델로 사상시킨다. 산출물인 관계들을 데이터모델 설계 툴을 활용하여 작성하시오. 데이터 베이스 설계
    더불어 정규화 작업이 이루어지는 논리 모델링, 데이터베이스를 실제 구축하는 DBS 선정, 하드웨어 스펙에 따라 알맞은 설계, 구축 과정을 진행해야 한다.다음의 문단에서는 엔티티 및 ... 산출물인 관계들을 데이터모델 설계 툴을 활용하여 작성하시오.데이터 베이스 설계데이터베이스 설계는 기능 중심 프로세스로 설계하더라도, 고객의 욕구를 먼저 이해하고, 이를 문서화하는 작업이 ... 엔티티고객과 관련한 속성들을 표현한다.조회 엔티티개별 사용자와 관련될 수 있으나, 직접 개인을 식별할 수는 없다.이벤트 엔티티고객이 수행하는 작업, 시스템 이벤트, 시간에 따라 변화하는
    리포트 | 2페이지 | 3,000원 | 등록일 2024.07.09
  • 데이터 모델링에 있어 요구사항 명세를 분석하여 ER 모델로 구성하는 개념적 설계를 수행한다. 산출물인 ERD를 데이터모델 설계 툴인 Toad for Data Modeler을 이용하여 작성하시오. 요구사항
    그런 다음카테고리설명프로필 엔티티고객과 관련한 속성들을 표현한다.조회 엔티티개별 사용자와 관련될 수 있으나, 직접 개인을 식별할 수는 없다.이벤트 엔티티고객이 수행하는 작업, 시스템 ... 서적관리, 친구관리, 음반관리, CD Title관리 등)개요데이터베이스를 설계할 때는 기능 중심 프로세스일지라도 고객의 비즈니스를 이해하고 문서화된 요구 사항 분석을 기반으로 설계해야 ... 데이터베이스데이터 모델링에 있어 요구사항 명세를 분석하여 ER 모델로 구성하는 개념적 설계를 수행한다.
    리포트 | 2페이지 | 3,000원 | 등록일 2024.07.09
  • IT면접대비 데이터베이스 요약본 [키워드 정리본]
    기본속성 = 엔티티가 원래 가지는 속성2. 설계속성 = 원래는 없었으나 설계하면서 도출3. 파생속성 = 다른 속성으로부터 파생됨 ... (사물)의 최소 단위, 엔티티의 성질, 분류, 수량, 상태 등을 나타냄속성 분류1. ... 설계 (개념, 논리, 물리설계가 여기서 이뤄짐)3. 구현 (DDL)4. 운영5. 감시 및 개선3-스키마 구조1. 외부스키마 (사용자 관점에서 조작, Views)2.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.04.25 | 수정일 2023.05.22
  • 객체지향의 개념
    먼저 엔티티(entity)의 식별을 통하여 데이터의 관계와 구조를 명확히 한 다음 이러한 데이터 구조를 지원하는 프로세스를 설계한다. ... 이것은 곧바로 설계와 구현으로 이어진다. ... 프로그래밍이 상당히 활발히 확산되었고 C++, Objective C, Eiffel, Ada95 등 수 많은 객체지향 언어들이 연이어 탄생하게 된다.객체지향 프로그래밍이 일반화되어가면서 설계
    리포트 | 4페이지 | 3,000원 | 등록일 2019.09.23
  • 경기대 산업자료관리 기말고사 시험출제사항 요약 및 정답 정리본
    직접 쓸 수 있어야 한다.데이터 베이스 구축방법에 대해 서술하시오.(5줄 이상)논리적 DB 설계와 물리적 DB 설계에서 쓰는 용어 구분도메인의 정의 방법 5가지1. ... 갖도록 설계를 변경하는 과정제2정규화 : 주식별자가 아닌 속성들 중에서 주식별자 전체가 아닌 일부 속성에 중복된 속성을 찾아 제거하는 과정제3정규화 : 주식별자가 아닌 속성들 중에서 ... 모델링에서의 핵심은 엔티티를 도출하는 일로, 앞서 수집된 자료들을 잘 살펴서 엔티티를 찾아내야 한다.엔티티란 무엇이고, 엔티티 도출과정을 설명하시오.(5줄 이상)엔티티란 업무의 관심
    시험자료 | 13페이지 | 3,000원 | 등록일 2023.06.26 | 수정일 2023.07.05
  • 한양여자대학교_시스템분석설계_기말고사
    선택성의 종류로는 항상과 때때로가 있다.속성은 엔티티의 특징을 나타내기 위한 요소로, 이를 정의하는 일은 데이터베이스 각 테이블의 컬럼을 설계하는 일이다. ... [2020년 2학기 시스템분석설계 기말고사 대체용 과제][요구사항 확인하기 – 지식]시스템 분석/설계 방법론 중에서 구조적분석방법론, 정보공학방법론과 객체지향방법론에 대하여 각각에 ... 구조를 먼저 고려한 후 각각의 하부시스템을 완성하여 통합한다.정보공학방법론은 기업 전체의 관점에서 기업 활동을 기업모델로 분석하고 다이어그램으로 표현하여 정보시스템의 계획, 분석, 설계
    리포트 | 10페이지 | 3,000원 | 등록일 2022.11.30
  • 10장 VHDL 설명 및 문법 예비
    [엔티티_이름];● generic 문① 설계엔티티를 매개변수화 하는데 사용한다.② generic문을 통하여 설계 파라미터를 회로에 전달함으로서 특정크기나 개수들에 국한시키지 않고 ... VHDL에 의한 설계 단계별 문법 설명1) 엔티티 선언- 하드웨어 블록의 이름과 입출력포트 선언프로세스의 입출력과 같이 전체의 회로에서 단지 하나만이 존재하며 하나의 엔티티가 가지는 ... VHDL의 특징과 설계기법에 대하여 학습한다.나. VHDL 설계를 위한 기본적인 문법을 학습한다.2. 이론가.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 소프트웨어공학 9~12장 연습문제 홀수번
    키의 값에 의해 각 엔티티는 유일하게 지정되어 엔티티 구별에 사용된다.6. ... 엔티티(객체) : 독립적으로 존재하는 실세계의 사물, 객체­ 관계 : 여러 엔티티 사이에 존재하는 연관성­ 속성 : 각 엔티티는 특정 속성의 모임에 의해 기술4. ... 구조적 프로그래밍만 가지고는 시스템 개발의 한계점에 부딪쳤고 좀 더 안정감 있는 시스템을 만들기 위해서 설계의 원칙들을 포함한 구조적 설계 기법이 나타났다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.06.24
  • 데이터베이스 스키마에 정의와 역할 및 기능에 대하여 간단히 서술하세요.
    엔티티-관계 다이어그램이나 개념적 데이터 모델을 통해 표현됩니다. ... 데이터베이스 시스템의 유지보수와 확장성을 향상시킬 수 있습니다.3) 개념적스키마(Internal Schema)개념적 스키마는 데이터베이스의 전체 구조를 논리적으로 표현한 것으로, 엔티티 ... 스키마에 정의와 역할 및 기능데이터베이스 스키마는 데이터베이스의 구조를 정의하는 논리적 설계이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.16
  • SQLD 시험 대비 요약본
    ‣ 정보시스템 구축을 위한 데이터 관점의 업무 분석 기법‣ 현실세계의 데이터에 대해 약속된 표기법에 의해 표현하는 과정‣ 데이터베이스를 구축하기 위한 분석/설계의 과정* 데이터 모델링의 ... 엔티티* 엔티티란? ... ERD 작성 순서① 엔티티 그리기② 엔티티 배치- 가장 중요한 엔티티는 왼쪽 상단에서 조금 아래쪽 중앙으로 배치하여 전체 엔티티와 어울릴 수 있도록
    시험자료 | 14페이지 | 3,500원 | 등록일 2022.01.17 | 수정일 2022.02.28
  • ER Diagram 요구사항과 그에 따른 가능한 solution. 데이터베이스 설계를 위한 다양한 예시 시나리오
    적합한 엔티티 집합, 관계 집합, 속성, 엔티티 집합의 키 등을 식별해야 합니다. ... 학과, 교수, 학생, 강의 등의 엔티티와 이들 간의 관계를 포함하고 있습니다. ... 강좌, 강사, 학생, 수강 등의 엔티티와 이들 간의 관계를 나타내고 있습니다.
    시험자료 | 16페이지 | 15,000원 | 등록일 2023.05.20 | 수정일 2023.05.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대