• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,438)
  • 리포트(21,186)
  • 시험자료(594)
  • 자기소개서(327)
  • 방송통신대(227)
  • 논문(80)
  • 서식(14)
  • ppt테마(6)
  • 노하우(3)
  • 이력서(1)

"입력신호" 검색결과 1-20 / 22,438건

  • 생체신호를 이용한 게임 입력 장치 개발
    한국컴퓨터게임학회 배성호, 이충헌
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • 아날로그 입력 신호를 샘플링을 고려한 디지털 FIR 필터를 통하여 출력신호 변환
    신호x(t)를 Analog-Digital Converter이용 출력 신호x[n]변환- 먼저 이산 시간 영역의x[n]를 입력 신호x(t)로 샘플링(Sampling)한다. ... [n]의 파형 및 주파수 응답 파형- 출력 신호y[n]은 입력 신호x[n]과 임펄스 응답h[n]의 컨벌루션으로 구할 수 있다.그러므로 Matlab의 컨벌루션 함수를 사용하여 출력y[ ... - FIR Filter Design -전 자 공 학 과ID :설계조건 및 내용 :- 아날로그 입력 신호를 샘플링을 고려한 디지털 FIR 필터를 통하여 원하는 출력 신 호를 변환하는
    리포트 | 12페이지 | 5,000원 | 등록일 2020.11.15
  • [A+] 중앙대 아날로그 및 디지털 회로설계실습 ADC/DAC를 이용한 입력신호 샘플링 필터링 및 출력 설계 실습
    ADC/DAC를 이용한 입력신호 샘플링, 필터링 및 출력 설계 실습5-1. ... 실습 목적- I/O port, 하드웨어 인터럽트, 타이머 인터럽트, ADC, DAC 기능들을 통합하여 입력신호를 샘플링, 필터링하고 이를 출력하는 예제를 수행하여 AVR 마이크로 컨트롤러의 ... ADC 입력으로 들어온 파형에 대하여 필터링을 수행한 후 DAC를 통하여 출력시킴(sampling rate 약 3.9kHz)b.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.01
  • 서강대학교 고급전자회로실험 - 실험 6. PC 및 Matlab을 이용한 음성신호 입력 및 출력 결과 보고서
    PC 및 Matlab을 이용한 음성신호 입력 및 출력분반학번이름조학번이름시작종료실험시작/종료시간 기재(통계 목적임)- 예비보고서는 실험 1 및 설계 과제를 진행하기 위한 실험 방법( ... linear swept-frequency 신호를 발생시키는데 10부터 100Hz까지 0부터 1s의 시간동안 주파수가 변하게 된다. ... y와 Sampling frequency가 Fs인 데이터를 가지고 소리를 낸다.plot(y)로 y에 대한 그래프를 확인할 수 있고, spectrogram은 신호 y의 시간 흐름에 따른
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • Report#1(멀티미디어신호처리) 정지영상 입력후 출력하는 프로젝트
    Report#1멀티미디어 신호처리2018-05-16위의 정지영상을 입력 받아 출력하는 프로젝트를 구현하여 제출.Source CodeRunning WindowOpenCV 의 cvtColor
    리포트 | 7페이지 | 1,000원 | 등록일 2019.02.28 | 수정일 2019.03.04
  • 외부 입력신호의 주파수 측정 예비 보고서
    험성명공동실험자학번제출일자성명외부 입력신호의 주파수 측정□ 외부에서 입력되는 클록 신호로부터 주파수를 구하는 공식을 기술하시오. ... 클록신호가 1초에 발생되는 overflow수) = 11059200 : x□ 주파수를 측정하기 위해 각각 카운터와 타이머가 레지스터 값들이 어떻게 설정을 해주어야 하는지 수도코드로 ... 이때 “타이머/카운터0”의 입력으로 사용된 CLK555가 외부 에서 입력되는 클록 입니다.
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • 외부 입력신호의 주파수 측정 결과 보고서
    험성명공동실험자학번제출일자성명외부 입력신호의 주파수 측정□ 타이머/카운터0과 타이머/카운터1의 각각 타이머와 카운터로서 사용하기 위해 레지스터들의 값들을 어떻게 설정해야 하는지 설명하시오 ... 이렇게 함으로 써 세그먼트에 표시되고 LCD 에도 외부신호의 주파수가 출력 됩니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • Matched Filter를 사용한 최적 수신기를 구현하고, 여러 입력 신호에 대한 Bit Error Rate를 측정하여 입력과 성능과의 관계를 확인한다
    측정하여 입력과 성능과의 관계를 확인한다.▶ Transmitted Bit : bit.dat, 총 1000 bits▶ 수신 신호 File? ... 전자공학과 통신이론 2 Homework #12012년 9월 19일목표 : Matched Filter를 사용한 최적 수신기를 구현하고, 여러 입력 신호에 대한 Bit Error Rate를 ... input#5.dat : "1" = 7.07, "0" = -7.07문제 :0. input#1.dat을 Plot 하여 신호가 얼마나 Noisy 한지 확인하시오.앞의 30개의 data만을
    리포트 | 13페이지 | 3,000원 | 등록일 2012.12.08
  • 글로파plc 컨트롤밸브제어을 이용한 노즐제어(pc 인터페이스 이용하여 입력신호를 plc로 주면됨)
    그림과 같이 PLC와 PC간의 통신프로그램 소스는 비공개입니다. 현장에 맟게 작성하세요올린 자료는 PLC 소스로 PC로 부터 수신데이터를 처리하여 밸브개도 제어하는 단순한 소스입니다.
    리포트 | 1,000원 | 등록일 2014.06.27 | 수정일 2017.01.26
  • 서강대 고급전자회로 실험 - 실험6 PC 및 Matlab을 이용한 음성신호 입력 및 출력 - 예비보고서
    PC 및 Matlab을 이용한 음성신호 입력 및 출력분반학번이름조학번이름시작종료실험시작/종료시간 기재(통계 목적임)1. ... , spectrogram은 이러한 STFT를 제공한다.S = spectrogram(X,WINDOW,NOVERLAP,NFFT,Fs)S : S에 STFT의 결과를 저장함.X : X는 입력 ... 취하면서 샘플을 선택하는데 overlap 되는 샘플의 개수NFFT : discrete fourier transform을 계산할 때 사용되는 frequency point의 개수FS : 입력
    리포트 | 6페이지 | 1,500원 | 등록일 2015.06.18 | 수정일 2015.10.09
  • 서강대 고급전자회로 실험 - 실험6 PC 및 Matlab을 이용한 음성신호 입력 및 출력 - 결과보고서
    PC 및 Matlab을 이용한 음성신호 입력 및 출력분반학번이름조학번이름시작종료실험시작/종료시간 기재(통계 목적임)1. ... 최대 주파수의 2배 이상의 샘플링 주파수로 샘플링을 하면 위와 같은 aliasing 현상이 발생하지 않고 원래 신호가 제대로 복원된다. ... 다만 샘플링 주파수가 높아지면 1초에 샘플링 하는 횟수가 높아져서 원래의 신호가 더 완벽하게 복원이 된다. 따라서 음질이 더 좋아진다는 장점을 갖게 된다.
    리포트 | 14페이지 | 2,000원 | 등록일 2015.06.18 | 수정일 2015.06.22
  • 트랜지스터를 사용하여 입력신호를 인가하였을때 왜곡이 없는 2단 증폭기 설계, pspice 캠쳐 사진 첨부.
    시뮬레이션-설계도면- 입출력단의 신호 분석(크기, 주파수 등)①입력파형(교류신호 입력)②Q1 입력신호(base)③Q1 에미터 신호④Q1 콜렉터 신호⑤Q2 입력신호 ... 분석각단의 DC 및 신호 전류, 전압의 이론치와 결과값 분석Q1 입력신호전류(base) : 5.524uAQ1 에미터 전류 : 757.9uAQ1 콜렉터 전류 : 752.4uAQ2 입력신호 ... 제목트랜지스터를 사용하여 입력신호를 인가하였을 때왜곡이 없는 2단 증폭기 설계2.
    리포트 | 6페이지 | 2,500원 | 등록일 2013.06.04
  • Matched Filter를 사용한 최적 수신기를 구현하고, 여러 입력 신호에 대한 Bit Error Rate를 측정하여 입력과 성능과의 관계를 확인한다.
    신호에 대한 Bit Error Rate를 측정하여 입력과 성능과의 관계를 확인한다.▶ Transmitted Bit : bit.dat, 총 1000 bits▶ 수신 신호 File? ... Homework1REPORT담당교수박호종 교수님학 번학 과이 름전자공학과 통신이론 2 Homework #12008년 9월 18일목표 : Matched Filter를 사용한 최적 수신기를 구현하고, 여러 입력 ... input#5.dat : "1" = 7.07, "0" = -7.07문제 :0. input#1.dat을 Plot 하여 신호가 얼마나 Noisy 한지 확인하시오.1. input#1.dat
    리포트 | 8페이지 | 5,000원 | 등록일 2009.10.02 | 수정일 2014.03.09
  • 기초전기실험 예비 보고서(제너 다이오드의 전류 전압 특성 측정,제너 다이오드 정전압 회로의 입출력 특성 측정, 입력신호의 크기에 따른 동작점과 출력전압 파형변화,Pspice)
    파형발생기의 출력전압이 충분히 크지 않아 전달특성을 다 볼수 없을때는 직렬로 직류전압원을 연결하여 입력신호에 +의 직류성분을 더한다.3. ... 위의 사진과 같이 저전압, 고전압, 순방향에서의 전달특성곡선의 기울기를 구하고, 두 영역 경계점의 입출력 전압값을 측정하여 기록한다.9-3 입력 신호의 크기에 따른 동작점과 출력 전압 ... 다음 회로1을 구성하고 (파형발생기:60Hz, 진폭을-2~10V /오실로스코프:XY모드, 입력 커플링은 두채널 모두 DC)로 설정한다.2.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.16
  • 8주차 예비 보고서 6장 연산 증폭기와 그 용용 (1)
    그림b와 그림c의 Vout 1,2의 신호가 동일한 위상을 가지므로 그림b, 그림c의 Vout1의 신호와 그림b, 그림c의 Vout2가 신호를 합치면 그림 d와 같은 차동입력에 의한 ... 이때, 차동 입력 모드 및 동상 입력 모드에 대해 조사해 보시오.차동입력이란 위상이 서로 다른 두 개의 입력 신호입력단자에 인가하는 경우로 그림 a와 같다. ... 또한 단일 접지방식으로(반전 또는 비 반전 단자 중 1개에 신호입력) 그림b, 그림c처럼 표시된다.
    리포트 | 2페이지 | 2,000원 | 등록일 2023.02.24 | 수정일 2023.03.14
  • 울산대학교 예비레포트 전자4장 클리핑과 클램핑 회로
    출력 신호의 첨두 전압이 입력 신호의 첨두 전압과 같게 되는지 검토하여 이 회로가 정확하게 해석되었음을 검증한다.? ... 실험목적입력신호의 파형을 수정하는 클러퍼(Clipper)와 클램퍼(Clamper)의 기능과 동작을 이해한다.2. 실험이론클리퍼란 인가된 교류신호의 일부를 “자르는” 것이다. ... 입력신호가 구형파일 경우에는 입력전압이 단지 두 값이기 때문에 일일이 모든 수간의 값들을 고려할 수 없으므로 기본적인 몇 개의 순간적인 값들과 그때의 직류전압과의 관계에 따라 출력을
    리포트 | 4페이지 | 1,000원 | 등록일 2023.11.14 | 수정일 2023.11.17
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 13주차 - 결과레포트
    0000~1001(0~9)의 입력신호를 인가하여 7-세그먼트에 0~9까지의 숫자가 출력되도록 하고 각각의 경우에 대해 7-세그먼트 결과 사진을 첨부하시오.SW의 입력신호를 조절하여 ... 10 이후의 숫자(2진수 1010 이상)를 입력하고 7-세그먼트 출력 결과 사진을 첨부하시오.스위치로 2진수 1101 즉, 10진수 11을 입력하였더니 오류가 발생하였다.건국대학교 ... 전기전자기초실험1 결과보고서 – 13주차실험 7-세그먼트(7-segment) 실험II의 회로에 7-세그먼트를 추가하여 다음 회로를 구성하고 사진을 첨부하시오.입력전압 조건표대로 SW에
    리포트 | 7페이지 | 5,000원 | 등록일 2024.08.10
  • 인하대 전자회로2 ㄱㅈㄱ교수님 설계 과제2 differential amplifier
    신호 차동신호 입력 했을 때** DIFFERENTIAL AMPLIFIER **** SPICE MODEL ****************************************** ... 소신호 공통신호 입력 했을 때** DIFFERENTIAL AMPLIFIER **** SPICE MODEL ****************************************** ... V0 gnd NCH W=50U L=10UMi V0 Vb gnd gnd NCH W=100U L=10U** Simulation **.Tran 100n 20u.PROBE.OP.END차동입력모드의
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.01
  • [교통공학]T7F 교통량 분석 레포트
    T7F 신호최적화 자료 입력창9. Discussion1. ... : 사용자 입력 신호시간12 : 분석시간 (1시간)13 : 신호시간단위(0=초 단위)14 : MOE를 계산하기위한 속도(0)15 : 단위법 (km/hr)16 : 입력 자료의 추가 ... 카드 (신호현시 상황 입력 카드)1 : 카드번호 21 (2번 교차로 첫 번째 현시)2 : 교차로번호3 : 현시번호4 : 3번과 같게5 : 황색시간 입력번호6 : 모든 신호의 적색신호
    리포트 | 21페이지 | 2,000원 | 등록일 2024.04.02
  • 건국대학교 전기전자기초실험1(전전기실1) 13주차 예비레포트+결과레포트(예레+결레)
    공통 캐소드 타입의 경우 LED에 흐르는 과전류 방지를 위한 전류제한 저항을 연결해야한다. 7-세그먼트가 통상적으로 마이크로 프로세서를 통해 제어함으로 2진수 입력신호를 a~g 신호로 ... 디코더의 내부는 AND, OR, NOT 게이트의 조합으로 이루어진 조합 논리회로로 구성되어 DCBA의 2진수 신호입력으로 받아 a~g의 출력신호 조합을 만들어낸다.위 그림 (b) ... 입력받아 a~g 출력신호 조합을 만들어낸다.(3) 7-세그먼트를 구동하기 위한 기본적인 회로도를 조사하시오.앞서 설명한 7-세그먼트 디코더와 7-세그먼트 조합하면 2진수 입력에 대해
    리포트 | 24페이지 | 4,500원 | 등록일 2024.05.29
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대