• 통큰쿠폰이벤트-통합
  • 통합검색(413)
  • 리포트(402)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(3)

"카운터 segment" 검색결과 1-20 / 413건

  • [마이크로프로세서] 7segment 1~9999카운터 (설계)
    과목명 : 마이크로프로세서제목:7segment 1~9999카운터설계#include // AT89S51 header file#defineFND0 P1 // Port 1, FND0 Data로 ... 사용#defineFND1 P2 // Port 2, FND1 Data로 사용#defineFND2_3 P0 //Port 0, FND2,3 Data로 사용#defineFND2_CS P3 ... _0 // Port 3_0 bit, FND2 Chip Select로 사용#defineFND3_CS P3_1 // Port 3_1 bit, FND3 Chip Select로 사용void
    리포트 | 2페이지 | 1,500원 | 등록일 2010.12.14
  • <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    · AVR MCU : ATmega128#include #include #define Fnd_delay 3 ... // FND Dynamic display 방식의 출력지연(mSec)unsigned int min = 0, sec = 0;unsigned int min_d1,min_d2,sec_d1, ... sec_d2,frm_d1,frm_d2;unsigned int stop_flag = 0;unsigned int count=0;위의 결과와 같이 시:분:프레임이 세그먼트에 1/100
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • verilog를 이용하여 0~99까지 segment에 출력할수있는 bcd카운터 설계
    리플카운터 그림을 보고 verilog를 구현 하였다.2.회로도3.Verilog code//bcd count to 7segment display (0~99) 2002122266 Hae ... 첫 번째bcd카운터에서 0에서9로 넘어갈 때 캐리를 다음 bcd카운터로 보낸다.하지만 이렇게 설계한것은 synchronous 카운터인데 설계를 하다가 시행착오로 인해서 책에 있는 BCD ... ☆목적:0에서 99까지 segment에 표시하는 프로그램 구현■BCD counter 설계1. 1~9까지의 bcd 카운터 상태표현재상태n다음상태JAKAJBKBJCKCJDKDABCDABCD0000000010X0X0X1X0001100100X0X1XX10010200110X0XX01X0011301000X1XX1X10100401010XX00X1X0101501100XX01XX10110601110XX0X01X0111710001XX1X1X1100081001X00X0X1X100190000X10X0XX10에서
    리포트 | 9페이지 | 1,500원 | 등록일 2008.07.31
  • verilog program 00부터99카운터(counter) 7-segments LEDs에 디스플레이(Display)
    (c,clr,{comA[3],comA[2],comA[1],comA[0]},{w0[3],w0[2],w0[1],w0[0]});decoder stage1({w0[3],w0[2],w0[1] ... clk, clr, comA, out);input clk, clr;output [3:0] comA;output [6:0] out;wire [3:0] w0;wire c;counter stage0
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.15
  • [7segment] 멀티심을 이용한 학번 카운터 설계 내부에 그림파일이 포함되어 있습니다.
    학번을 전광판에 출력하는 것으로 카르노맵을 만들어 로직표현으로 바꾸는 과정을 처음부터5개 step으로 만들어놨고 원서수업이라 원서 수업이라 영문으로 만들어놔서 그런지 교수님도 굉장히
    리포트 | 5페이지 | 2,000원 | 등록일 2007.11.01
  • verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    . ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와 K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플롭을 이용하여 ... 소스 module seg(out7,out6,out5,out4,out3,out2,out1,a,b,c,d); // 7 segment 부분입니다.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • Counter 회로제작 (기초공학실습, KAIST)
    다음회로도와 같이 연결하시오.74LS47 과 7-segment의 같은 기호끼리 연결하시오2. ... {Q _{B}}01101010111110110120101011030101그러므로 Mod 3 카운터는 그림 4와 같이 동작한다.7-segment display일곱 개의 LED를 접속하여 ... RESULT WITH ERROR ANALYSIS (or GRAPH)CK pulse 에 따른 A,B,C,D 점에 대한 상태.- 실제로 7-segment-display의 동작을 확인하였다
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 디지털공학 32진 카운터 설계
    이로서 일의자리가 9가 된 후 십의 자리가 1씩 카운트 된다.32진 카운터이므로 7-segment에 31이 출력된 후 리셋 시키기 위해 십의 자리를 나타내는 두 번째 7-segment에 ... 학번이름분반조실험 제목32진 카운터 설계사용 부품7-segment 2개, 저항 330Ω 2개, 7490 2개, 7447 2개, 7408 1개회로도실제회로동작사진동작원리7490 IC는 ... 이 값을 십의 자리 리셋이라 가정한다.이 상태에서 일의자리를 나타내는 첫번째 7490 IC가 카운트 되다가 7-segment에 1이 출력되었을 때 이에 해당하는 0001 값이 십의자리
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.22
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... (C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 0, 1, 2, ..., 8, 9, 0, 1, 2, ...의 순서대로 표시되는 지 확인한다.7-segment LED에 ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    다양한 기본소자들(and gate, 스위치, 10진 카운터와 6진 카운터, 555(타이머), 4020(14비트 2진 카운터 등)을 사용하였다.시계기능에서는 카운터 등을 이용하여 7segment에 ... 분/초는 60까지, 시는 12까지만 표시해야 하는데, 이를 12진 카운터와 6진 카운터, 10진 카운터를 연결하여 구현해야 했다. 7447과 7 segment를 연결한 디지털 숫자 ... 스위치가 옮겨지면 2,3번 핀이 모두 1이 입력되어 출력이 0이 나와 7490 내부 F/F은 reset되어 7-segment는 모두 0을 가리킨다.카운터 회로에서 0.1초 단위는 10진
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 논리회로실험 예비보고서8
    LED로 숫자를 디스플레이에 표시하는 장치로 0부터 9까지의 2진값을 7-segment에 숫자로 표현할 수 있도록 변환한다. cathode type일 경우 위의 표와 같고 anode ... -실험3) 7-segment BCD Counter① 74HC90(Decade and Binary Counter)의 출력을 74HC47(BCD-to-Seven-Segment Decoder ... 위의 그림과 같이 2단 2진 counter는 출력이 2bit이기 때문에 입력 (A’,B’), (A,B’), (A’,B), (A,B)를 통해 4가지 출력을 디코딩할 수 있다.·7-segment7개의
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 디지털 논리회로와 광센서
    광센서에 빛을 주는 경우에는 7-segment에서 7~8이 반복되었다. ... 하지만 전압을 조정하여서 MC1480과 OPAMP의 전압의 범위를 비슷하게 해 준다면 7-segment에 표시되는 값은 6~7이 반복되었다. ... 커패시터7400, 7447, 741917-segment741Cds 광센서실험 방법광센서 특성 측정CdS셀은 소자에 입사하는 빛의 조도에 따라 저항이 변하는 소자이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.03
  • 디지털 시계 회로 제작 보고서
    7-segment 디스플레이 장치의 동작원리그림 5는 74LS47의 결선도, 그림 6은 7-segment를 나타낸다.그림 5. 74LS47의 결선도 그림 6. 7-segment74LS47의 ... 상부의 7-segment와 74LS47로 이루어진 7-segment 디스플레이 장치의 동작원리에 대한 이해가 필요하다.그림 2. 발진회로? ... (그림 7).그림 7. 0부터 15까지의 input에 대한 7-segment 디스플레이 상태한편, 가장 왼쪽의 소자에서는 시의 십의 자리의 수를 표시하므로 0과 1의 표시만을 필요로
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • 실험 1 프로젝트 - 전화번호 입력
    button 네 개를 사용해서, 하나의 스위치를 “Coin”으로 한번 누를 때마다 A는 1씩 증가한다. “3”이 A에 표시되면 LED1(노랑)에 불이 들어오고 Phone Number의 7-segment ... A-> “Coin”은 push button으로 한번 누를 때마다 A는 1씩 증가한다. “3”이 A에 표시되면 LED1에 불이 들어오고 “Phone Number” block의 7-segment ... 이 과정에서 C에는 불이 들어와 있으면 안된다.-> LED2에 불이 들어오면 통화가 가능하게 되었다는 뜻으로 7-segment LED C에 “9”의 숫자가 들어오면서 count-down이
    리포트 | 10페이지 | 4,000원 | 등록일 2020.10.14
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    [표 5][그림 16][그림 17][그림 18]4) 중간고사 2번의 회로를 FPGA(schematic)로 구현하여 7-segment로 동작 확인최소화된 상태표 및 카르노맵을 각각 [ ... 하나의 신호로 동기화되지 않은 카운터T 플립플롭을 이용한 3비트 Up-카운터의 회로 및 타이밍 다이어그램은 아래와 같다. ... 상태에 의해서만 결정됨② Melay type : 출력이 현재의 상태와 현재의 입력에 의해서 결정됨3) 카운터 : 카운팅을 하는 데 사용되는 회로① 비동기 카운터 : 플립플롭들의 클럭이
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    실험 이론2.1. 7-Segment7-세그먼트 표시 장치(seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다.0123456789ororororo) 구동 방식과 스태틱(static) 구동 방식을 사용한다. ... EN이 1인 상태라면 MODE가 1일 때는 업 카운터(증가 계수기)로, MODE가 0일 때는 다운 카운터(감소 계수기)로 작동한다.
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    , 함수발생기, 저항 330Ω 7개, 7-segment 1개, 74LS190 1개, 74LS47개-실험 결과실험 1) MOD-16 DOWN 카운터 회로와 7-segment 표시표 15 ... 회로와 7-segment 표시비동기 카운터는 각각의 플립플롭의 출력이 다음 플립플롭의 클럽 입력신호가 되는 카운터를 의미한다.이렇게 부르는 이유는 첫 번째 플립플롭만이 Clock ... 실험 과정실험 1) MOD-16 DOWN 카운터 회로와 7-segment 표시- 그림과 같이 회로를 결선한 후, PR을 접지에 연결했다가 다시 +5V에 연결한다.- 함수 발생기로부터
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    (A)에서 생성된 Clock신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 사진의 상단에서 확인할 수 있듯이 decoder와 7-segment 사이에도 빠트리지 않고 저항을 연결하여 과전류를 방지하였다.전원을 인가하고 7-segment LED에 표시되는 숫자가 ... 형태가 되도록 카운터를 제작하기 위해, 가운데 7-segment LED에 연결된 카운터의 reset단자인 14번 노드를 5에서 reset되도록 설정하여 회로를 연결하였다.
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7- ... segment에 연결한다. ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 74LS192 업다운 카운터- 업 카운터나 다운 카운터나 링 카운터의 경우 입력 신호가 한 번 펄스를 가할 때 카운터가 가산되거나 감산되는데에 비해, 업다운 카운터의 경우 신호가 끊어지면 ... 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대