• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(224)
  • 리포트(197)
  • 시험자료(18)
  • 방송통신대(7)
  • 논문(1)
  • 자기소개서(1)

"16bit alu 설계" 검색결과 1-20 / 224건

  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    디지털설계고속가산기(CLA)를 활용한16bit 산술논리장치(ALU) 설계목 차설계개요개념설계회로구현결과검토■ 설계개요고속가산기를 활용하여 8가지 연산(덧셈, 뺄셈, +1증가, -1감소 ... ([그림 3])■ 회로구현게이트레벨구조의 CLA를 이용한 16bit ALU 코드//--------------------16-bit ALU Module------------------ ... -bit ALU CLA Module----------------//module ALU_16bit0, M, S1);ALU4 ALU_0(A[3:0], B[3:0], S0, S1, X[3
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • VHDL을 이용한 16bit ALU 설계 및 파형
    집적회로실습과제 Report16bit ALU 설계전자정보시스템제출일: 5/31ALU (Arithmetic Logic Unit)산술 논리 장치Mathematician HYPERLINK ... ALU설계한다.< 16bit ALU >library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... ; --ALU 산술연산시 가산기C-out값ALUout : out STD_LOGIC_VECTOR (15 downto 0)); --ALU 출력값end ALU;architecture ALU16bit
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • 16비트 ALU VHDL설계
    16bit ALU@ 동작CODE동작FLAG비고0000Y←AZtransfer0001Y←A+1C, Z, V, Nincrement0010Y←A+BC, Z, V, Nadd0011Y←A+B+ ... downto 0);c_flag,z_flag, v_flag,n_flag : OUT std_logic);END alu16;ARCHITECTURE arch_alu16 OF alu16 ISBEGINPROCESS ... VDHLLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY alu16 IS PORT(a,
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • u Processor 설계
    시프트 레지스터는 비트들을 왼쪽 또는 오른쪽으로 이동시키는 기능을 수행하는 레지스터이고, 보수기는 이진 데이터의 보수를 취하는 회로이다. ... 코드 및 설명 (4x1 , 2x1 MUX)출력 결과u_Processor 결과--------------------------------------------p.16고찰 -------- ... )ALU 란?
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • Basic computer
    하였다.IR - 제어신호 : LDIR의 경우에도 16bit 크기지만, 위에서 설계한 것과는 다르게 제어신호가 LD뿐이다. ... 레지스터의 설계1) 16Bit 레지스터AC - 제어신호: LD, INR, CLR, Clock- LD 신호에 의해 클럭의 rising edge에서 0002가 로드 되고 다음 클럭에서 ... CLR신호의 우선순위는 INR신호보다 높다.DR, TR의 경우 AC와 기본적인 기능 및 16bit로 크기가 같아 위의 코딩에서 AC를 각각 DR, TR로 바꾸어 주어 설계 및 검증
    리포트 | 33페이지 | 5,000원 | 등록일 2020.12.16
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    한 단을 16개 병렬로 연결하여 ALU 16bit를 구성할 수 있고 발생하는 Carry는 직렬로 연결되며 OVERFLOW는 E로 연결되어 저장한다. ... SHL)에 따른 연산을 하도록 되어있다.연산이 된 신호는 JK FF를 통해 AC 레지스터에 저장된다.ALU16bit이고 1bit 한 단은 아래 그림과 같이 구성되어 있다.1bit ... 순서이다.# Memory unit ( SRAM 62256 )사용한 메모리는 SRAM 62256으로 총 16비트의 Addresses와 8비트의 I/O 데이터 버스를 가진다.메모리는
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.다. 상용 ALU(산술논리 연산장치)의 기능을 이해한다.라. ... 16가지의 산술 및 논리연산을 수행할 수 있으며 2개의 4비트(A,B) 입력과 1개의 4비트 출력(F)을 가지고 있다. ... 상용화된 4비트 ALU를 이용하야 두 수의 가감산을 실험함으로써 ALU의 동작과 응용을 확인한다.2. 이론가.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 논리회로실험 비교기와 MUX, ALU
    데이터를 병렬로 처리할 수가 있다.- 16비트 컴퓨터와 같이 n비트 컴퓨터라고 표현할 때 n은 그 CPU가 가지는 ALU로서 일시에 병렬로 처리할 수 있는 데이터의 비트 수를 나타낸다 ... 8가지의 다양한 기능을 가진 ALU설계해본다.2. ... 논리회로설계 실험 예비보고서 #5실험 5. 비교기와 MUX, ALU1.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 시스템 제어공학
    16비트 레지스터들은 주로 데이터 메모리의 16비트 어드레스를 간접 지정하는 어드레스 포인터로 사용되며, 또한 Z레지스터는 LPM,ELPM,SPM 명령에서 프로그램 메모리 영역의 ... 카운터외부 오실레이터에 의한 Real Time Counter2개의 8비트 .PMW 채널2비트에서 16비트까지 조절이 가능한 6개의 PMW 채널8채널의 10비트 ADC- 8개의 싱글 ... 또한 picoPower 기술이 적용된 제품의 경우 저 전력 설계가 가능하고, 32개의 범용 레지스터와 RISC 구조의 디자인은 C언어에 적합하여 제품을 빠르게 개발하는데 도움이 된다
    리포트 | 12페이지 | 1,500원 | 등록일 2024.05.09
  • 홍익대 디지털논리실험및설계 6주차 예비보고서 A+
    Logic Unit), 두 개의 4비트 문자를 입력받고 16가지의 논리 연산과 16가지의 산술 연산을 수행하여 4비트의 출력값을 내보낸다. ... 디지털 논리실험 및 설계 6주차 예비보고서1. ... 이 16가지의 논리 연산과 산술 연산은 M, S0, S1, S2, S3 총 5가지로 선택할 수 있다.다음은 ALU 74181의 구조도와 수행할 수 있는 연산들이다.A0’~A3’, B0
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 인하대 컴퓨터구조론 과제 mips pipeline 설계
    또한, Sign Extension으로 16bit의 immediate 값을 32bit으로 extend한다. ... 또한, Sign_Extend 모듈은 16bit를 sign extension 하여 32bit로 만들 때 사용된다. ... 명령어인 immediate 명령어와 18번째 명령어인 jump 명령어는 정확히 구현되지 않았다.⑶고찰지난번 Multicycle_MIPS에 이어 이번에는 Verilog를 사용하여 설계
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.01
  • 마이크로프로세서에서 ATmega128의 용도와 사용방법을 설명하세요
    CPU의 속도단위인 대부분의 단일 클록에서 133개의 명령어가 실행되고 32개의 범용 작업 레지스터가 8비트로 구성되어있고 16MHZ에서 16MIPS의 처리율을 가지고 있고 마지막으로 ... 한 번 프로그래밍 되어 설계되면 코드를 나중에 바꿀 일이 거의 없어 냉장고, 전자레인지 등의 기기에 사용되어진다.- ATmega128의 정의 및 용도ATMEL사의 8비트 AVR마이크로 ... 마이크로프로세서는 ALU, 레지스터, 제어 유니트, CPU 내부버스로 구성 되어있다.먼저 ALU는 arithmetic and logic unit의 준말로 덧셈, 뺄셈, 곱셈, 나눗셈과
    리포트 | 4페이지 | 2,000원 | 등록일 2022.01.21
  • 마이크로프로세서의 발전과정 및 최신동향
    한편 모토롤라사의 6800과 6809, 모스텍사의 6502 등도 널리 사용된 8비트 마이크로프로세서였다.1970년대 후반부터 16비트 마이크로프로세서가 등장하였다. ... 가정용의 PC나 게임기, 가전제품이나 기계 제어 등에 사용되고 있고, 16비트 마이크로프로세서는 일반적인 개인용 컴퓨터나 산업용의 제어기기에, 32비트 마이크로프로세서는 고성능의 개인용 ... 마이크로프로세서가 컴퓨터 산업에 기여한 점CPU는 레지스터, ALU, 멀티플렉서, 디코더 등 여러 가지 디지탈 기능을 수행하는 소자들을 조합해서 설계할 수가 있다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2020.01.14
  • 컴퓨터구조와 데이터의 표현과 컴퓨터 연산에 대하여 설명하시오. 컴퓨터시스템의 구성요소, 컴퓨터 역사와 분류, 정보의 표현과 저장 데이터의 표현과 컴퓨터 연산 보수의 개념, 진수 연산 문자데이터 대하여 설명하시오.
    CPU는 연산장치(ALU)와 제어장치(CU)로 구성됩니다.- 연산장치(ALU): 산술 및 논리 연산을 수행하는 컴포넌트입니다. ... ASCII는 7비트 코드로, 128개의 다른 문자를 나타낼 수 있습니다. ... 컴퓨터 구조는 컴퓨터 시스템의 기본 원리, 구성 요소, 그리고 설계 및 구현에 관한 모든 측면을 포함합니다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.04.11
  • 산술논리연산회로 실험보고서
    논리장치 내에서는 16개의 논리 연산중 하나가 수행될 수 있는데, 예를 들어 두 개의 연산자를 비교해서 서로 맞지 않는 비트가 어떤 것인지를 찾아내는 것 등이 그것이다.ALU의 내부 ... 논리연산회로][2-bit ALU]3. ... 앞에서 설명된 것과 다른 형태로 산술연산회로를 설계하라.4.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • ALU 8bit 설계 베릴로그
    (그림 ㄱ,ㄴ참고) mode값에 상관없이 일단 모든 연산을 모두 수행하고 mode값에 따라 알맞은 값만 result값에 대입하는 기법으로 설계.그림 ㄱ.그림 ㄴ.8bit ALU 블록 ... -------------------//// File : 8bit.v// Generated : Thu May 3 13:16:05 2018// From : interface description ... 디지털시스템설계 #4 Report2018. 5. 17 제출mode값에 따라서 다양한 행위를 함.
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • (A+ report) 컴퓨터의 이해 - 마이크로프로세서에 대하여 설명하라. 마이크로프로세서의 역사, 구조, 최신 동향에 대하여 설명하라.
    모토로라는 16비트 프로세서인 68000을 출시했다. ... 질로그 역시 16비트 프로세서인 Z-8000을 출시했다.이렇게 3사의 마이크로프로세서가 퍼스널컴퓨터(PC) 시장을 열게하면서 가장 인기 있는 16비트 프로세서가 되었다.3.4 4세대 ... 주소 버스 32비트)16MHz – 33MHz275000인텔 804861986(기타 버전 80486DX, 80486SX, 80486DX2, 80486DX4)16MHz – 100MHz120만
    방송통신대 | 11페이지 | 6,000원 | 등록일 2022.04.06
  • cortex M3의 내부 구성도를 그리시오
    이때 Thumb은 16 bits의 사이즈를 가지며, ARM은 32 bits의 사이즈를 가진다.ARM7의 경우, 16 bits 사이즈인 Thumb 명령어를 사용함으로써 코드 사이즈를 ... Cortex-M3 프로세서의 장점높은 성능: 32비트 RISC 아키텍처, 3단 파이프라인 구조, Thumb-2 명령어 세트 지원낮은 전력 소모: 최적화된 설계 및 저전력 동작 모드 ... 단점이 있다.이를 해결하기 위해 Cortex-M3에서는 Thumb-2 ISA를 지원하는데 Thumb-2는 16 bits와 32 bits 모두를 지원하기에 모드 전환 없이 사용 가능하다는
    리포트 | 7페이지 | 7,500원 | 등록일 2024.03.11
  • Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    16bit-memory를 설계하여 특정한 task를 수행할 수 있도록 하는 것이 목표이다.또한 assembly 명령어들을 검증하는 code를 ModelSim을 이용하여 시뮬레이션 하여 ... 모습을 볼 수 있었다.다음으로 32x16bit-memory를 합성한 결과는 아래와 같다위 사진은 memory를 합성한 결과이며, SYNC_RAM이 생성되었으며, addr에 따라 DATAOUT으로 ... 디지털시스템설계Mu0 Processor 프로젝트Introduction본 프로젝트는 Verilog-HDL언어를 이용하여 간단한 프로세서의 형태인 MU0 processor를 설계하고,
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • VLSI 프로젝트 보고서
    [Layout]Demux와 반대로 동작하는 Mux는 Controller와 ALU에서 4 bit 신호의 input을 받아 1bit의 DIR_SRAM의 값에 따라 4비트 신호를 SRAM의 ... GND dc 1.2V4 bit_b GND dc 0.4M1000 a_n16_n65# sense_clk sense w_n27_n66# pch_tn w=6 l=2+ ad=36 pd=24 ... 논리 연산은 비트별로 AND, OR, XOR, NOT 등의 논리연산을 실행한다.마이크로프로세서는 집적 회로 기술로 비용이 저렴하고 고속으로 동작할 수 있다.
    리포트 | 50페이지 | 3,000원 | 등록일 2020.03.12 | 수정일 2020.03.16
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대