• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(881)
  • 리포트(836)
  • 시험자료(30)
  • 자기소개서(8)
  • 방송통신대(6)
  • 논문(1)

"2:1 MUX" 검색결과 1-20 / 881건

  • 인하대 전자공학과 VLSI 2:1, 4:1 MUX magic layout 및 hspice simulation
    따라서 오른쪽 그림과 같은 형식으로 2:1 MUX 레이아웃을 구성하였다.4:1 MUX와 같은 경우는 2:1 MUX 3개를 결합하여 레이아웃을 구성하였다. ... 진리표는 위의 그림과 같다.(01) Layout (Magic Tool을 이용하여 추출, & tran 시뮬레이션)1) 2:1 MUX2) 4:1 MUX(02) Hspice( 손으로 작성한 ... netlist파일 & tran 시뮬레이션)2:1 MUX오차가 거의 없고 정상적으로 파형이 도출되었다.4:1 MUX오차가 거의 없었다.MUX 같은 경우에 layout할 수 있는 방법은
    리포트 | 8페이지 | 2,000원 | 등록일 2019.06.22 | 수정일 2020.08.19
  • Verilog-2x1 Mux설계-정진균
    - 6bit Adder 설계2x1 Multiplexer2x1 Multiplexer >> 2x1 Mux_Source2x1 Multiplexer >> Test Bench Source2x1 ... Multiplexer >> compile2x1 Multiplexer >> Simulation ... Report< Verilog - 2x1 Multiplexer >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 9월 28일학 번 : 200711061이 름 :김성현Verilog
    리포트 | 3페이지 | 1,500원 | 등록일 2012.03.28
  • verilog 2*1,4*1,8*1 mux 입니다
    ^^ 실제 테스트 한 것입니다.
    리포트 | 2,000원 | 등록일 2010.03.31
  • EPLD설계실습 레포트(1의 보수와 2의 보수,MUX와 DEMUX에 대해 조사)
    컴퓨터에서 가산기를 사용하여 뺄셈을 하기 위해 음수의 표현으로 자주 사용된다.2.MUX와 DEMUX에 대해 조사해오기1)멀티플렉서(MUX : multiplexer):복수개의 입력 선으로 ... EPLD(설계실습) REPORT1.1의 보수와 2의 보수에 대해 조사하기1)1의 보수: 2진수 n자리(n비트)에서 2n-1을 기수로 했을 때의 보수. ... 예를 들어, 4비트의 1의 보수를 구하는 것은 다음과 같다.2)2의 보수:2진수 n자리(n비트)에 대하여 2n을 기수로 하는 경우의 보수.
    리포트 | 2페이지 | 1,000원 | 등록일 2013.08.21
  • [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    4x1 MUX Behavioral Modeling코드시뮬레이션 결과4x1 MUX(Multiplexer 또는 데이터 선택기)는 select 입력에 따라 in_0~in_3 중에 데이터를선택하는 ... 1. 2x4 Decoder① Dataflow Modeling코드컴파일 화면컴파일 후 Warning문장을 포함한 Message 화면총 4가지의 Warning문장이 나오는데 첫 번째는 ... 단순히 소프트웨어 가입을 권하는 것이고, 2번째는Critical Warning으로 7개 핀들의 위치가 정확하게 할당되지 않았다는 것인데 아마 아직 값을 정확히가지지 않기 때문으로 추측된다
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • [VHDL 설계] 2-TO-1 MUX 와 7-segment 설계
    mux2 of mux1 is -- 입출력 관계 정의beginprocess(s) -- select의 변화에 따른 ... 설계하고 다시 검증(simulate)하시오.▶ 2-TO-1 MUX① text Designentity mux1 is -- 입출력 포트의 ... Problem) 2-TO-1 MUX와 7-segment를 각각 text Design(VHDL)으로 설계하여 검증(simulate)을 하고 각 symbol을 이용하여 아래 그림과 같이
    리포트 | 3페이지 | 1,000원 | 등록일 2009.02.08
  • 논리회로설계실험 4주차 MUX 설계
    검증할 수 있다.2) Theoretical Approach(이론)2.1) 4:1 MUX4:1 MUX는 a,b,c,d 4개의 input과 2개의 input selections s1, ... 1) Objective of the Experiment(실험 목적)이번 실험의 목적은 4:1 MUX와 1:4 DEMUX를 강의 시간에 배운 2:1 MUX와 1:2 DEMUX의 modeling ... 동작원리는 4:1MUX의 output은 2개의 select bits의 조합에 의해 결정된다. 2개의 bit 이므로 총 4가지의 경우의 수가 있다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 인하대 fpga 3주차 먹스, 디코더보고서
    LAB2.2to1 MUXmodule a2to1 MUX(input a,input b,input sel,output reg out); /*모듈이름은 a2to1 MUX이고 각각 인풋 아웃풋들을 ... a4to1mux(input [1:0] a,input [1:0] b,input [1:0] sel,output reg out); /* 모듈의 이름은 a4to1mux이고 각각 a b sel을 ... 3'd0; c_in=1'd0;#50; a=3'd2; b=3'd1; c_in=1'd0;#50; a=3'd3; b=3'd2; c_in=1'd1;#50; a=3'd4; b=3'd3; c_in
    리포트 | 10페이지 | 3,000원 | 등록일 2020.07.07
  • 시립대 전전설2 Velilog 결과리포트 5주차
    이번 설계에서는 3X8 디코더와 2:1MUX에 대해 알아보도록 한다.2. ... 진리표는 위와 같이 나오고 이를 간단하게 정리하면SQ0A1B이와 같은 형태로 정리 할 수 있다.논리회로논리식Q = S’A + SB3) 4:1 MUX2:1 MUX와 동일한 기능을 하지만 ... 편이성을 위해 S의 값을 버스로 설정해주었다.핀 입력 번호결과S : 01B : 1Q: 1S : 10C : 1Q: 1S : 11D : 1Q: 1S1S2Q00A01B10C11D4:1 MUX
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    다음은 2:1 mux의 진리표와 논리회로이다.Mux의 Karnaugh Map을 이용한 최적화하면 다음과 같다Y=S’*D0 + S*D1D1D0s*************10011Demuxdemux는 ... Schematic(4) 2비트 2:1 Mux -case1) if/ else if문 사용if문을 사용한 muxtest benchsimulationpinView Technology Schematic ... ’ D=s1s2S2S1000111100100S2S1000111101000B=s1’s2 A=s1’s2’1LatchLatch는 저장요소로 입력에 의해 상태가 변할 때까지 2진상태를 유지한다
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 부산대학교 어드벤쳐디자인 8장 예비보고서
    (1) 그림 8.3에 나타난 2:1 MUX를 확장하여 4개의 데이터 입력, 2개의 제어입력 및 1개의 데이터 출력을 가지는 4:1 MUX를 설계하라.데이터 입력 A, B, C, D이고 ... 제어입력 S1, S2이다.(2)    MUX는 부가적인 게이트를 사용하지 않고 (n+1) 개의 입력변수를 갖는 논리 함수를 실현할 수 있다. 4:1 MUX를 사용하여 다음의 ... MUX를 사용해 논리회로를 설계하면 다음과 같다.(3) 8:1 MUX를 이용하여 다음의 논리함수에 대한 논리회로를 설계하라.       
    리포트 | 8페이지 | 1,500원 | 등록일 2022.11.13
  • [건국대학교 논리회로 A+][2024 Ver] 3주차
    논리회로 실습과제3주차목차MUX_2x1 이름의 회로 생성 및 구현MUX_4x1 이름의 회로 생성 및 구현MUX_2x1 부회로 외형 변경MUX_4x1 회로 외형 변경 & main함수 ... MUX_2x1 회로 생성 및 구현(그림 1)(그림 2)프로젝트 메뉴에서 add circuit을 선택하여 MUX_2x1이라는 부회로를 생성한 후, 2개의 AND게이트, 1개의 NOT게이트 ... 부회로들에 각각 MUX1, MUX2, MUX3의 이름을 입력하였다.4개의 입력핀(I0, I1, I2, I3) 및 2개의 입력핀(Sel0, Sel1)을 배치한 후 그림 3처럼 입력핀
    리포트 | 8페이지 | 5,000원 | 등록일 2024.08.14
  • [건국대학교 논리회로 A+][2024 Ver] 4주차
    MUX_2x1_4Bit_P 회로 생성 및 구현(그림 1)(그림 2)(그림 3)프로젝트 메뉴에서 add circuit을 선택하여 MUX_2x1_4Bit_P 라는 회로를 생성한 후, 캔버스에 ... 논리회로 실습과제4주차목차MUX_2x1_4Bit_P 회로 생성 및 구현MUX_2x1_4Bit_B 회로 생성 및 구현Splitter_Test 회로 생성을 통한 핀 타입, 버스 타입 비교MUX ... 그 후 분할된 비트들은 기존의 출력핀을 모두 제거하고 해당하는 F0, F1, F2, F3에 각각 연결하였다.MUX_2x1_4Bit_B 회로는 단순히 MUX_2x1_4Bit_P 회로에서
    리포트 | 11페이지 | 5,000원 | 등록일 2024.08.14
  • 디시설, 디지털시스템설계 실습과제 4주차 인하대
    4 to 1 MUX그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림 SEQ 그림 \* ARABIC 2 : wave form8 to 1 MUX그림 SEQ 그림 \* ARABIC ... MUX와 기본논리는 동일하다. ... 단지 선택항목인 s2가 하나 더 늘어나 경우의 수가 많아진 것 뿐이다. 따라서 s2의 참 거짓으로 s1연산으로 진입하고 다시 s1의 참 거짓으로 s0 3항 연산으로 진입한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 5주차 예비+결과(코드포함) Combinational_Logic_Design_II Decoder, Encoder and MUX
    -Decoder, Encoder, MUX의 구성과 작동 방식을 이해 및 설계한다.나.실험결과1.2-bits 2:1 MUXFig.1.은 2-bits 2:1 MUX의 시뮬레이션 결과이다 ... 결과의 정확성 검증은 결론에서 다룰 예정이다.2.4:1 MUX 예비보고서에서는 2-bits 4:1 MUX를 설계했으나, 1-bit 4:1 MUX로 시뮬레이션을 다시 수행하였다.코드는 ... 정상적으로 2:1 MUX 기능을 수행할 수 있다.2.4:1 MUX마찬가지로 TABLE III는 설계한 4:1 MUX의 시뮬레이션 결과와 예상 결과를 비교하여 실험의 정확성을 판단한
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 연세대학교 기초디지털실험 3주차 예비레포트 (combinational logic)
    The block diagram and truth table for 4:1 MUX is in pic 2 and 3.2. ... There are MUX, DEMUX, encorder and decorder.1. MUXMUX stands for multiplexer. ... DEMUXDEMUX, de-multiplexer, operates reversely compare to MUX.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.08.18 | 수정일 2022.12.15
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,MUX & DEMUX
    Chapter 1. 실험 목적MUX와 DEMUX의 원리를 확인 할 수 있다. Chapter 2. 관련 이론1. ... Multiplexer(MUX)먹스는 여러 개의 회로에서 입력된 신호 중에서 어느 한 입력신호를 선택해 출력회로를 전달해주는 기능을 수행하는 데이터 선택 논리회로이다.
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    멀티플렉서 로직 설계② 2입력 멀티플렉서 Test Fixture 파일 생성③ 2입력 멀티플렉서 시뮬레이션 결과 확인응용 과제(총3문항)● 2비트 2 : 1 MUX 회로를 설계하시오입력 ... simulation=> 실습을 위한 PIN번호 설정● 4 : 1 MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch ... 실험 목적:Decoder, Encoder, Mux 회로를 설계하여 결과를 확인한다.2.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 디코더, mux, comprator, 4비트 감가산기
    논리회로 및 실습결과 레포트1. 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. ... ’ & 1’ = 0Mux 파형분석 ... 이용해서 숫자를 출력 할 수도 있습니다.여기에서 간격은 1ns로 하였고, 각각의 입력값의 변화에 따라 측정을 하였습니다.Mux우선 mux는 오른쪽 그림에서 보는바와 같이 여러개의
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • multiplexer(멀티플렉서) VHDL 실습보고서
    비트(mux2_s)를 이용하여, 0일때는 mux2_i(0), 1일때는 mux2_i(1)을 선택합니다. ... 8-1MUX로 확장 시켰고, 그 과정속에는 7개의 2-1MUX가 사용되며, 내부 신호를 통해 값들이 전달되고, 출력될 수 있도록 하였다. 2-1MUX 내부에는 2개의 input이 ... selection 비트를 통해 0이면 첫번재 input이, 1이면 두번째 input이 선택되는 방식으로 설계되었고, 이를 활용하여, 8-1MUX에서는 2-1MUX를 4-2-1개의 3개의
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대