• 통큰쿠폰이벤트-통합
  • 통합검색(173)
  • 리포트(169)
  • 시험자료(4)

"2bit binary counter" 검색결과 1-20 / 173건

  • 2bit binary counter & Mealy Machine
    즉 Mealy Machine은 input이 output에 영향을 미친다는 것이다.▷2bit binary counter2비트 2진 카운터란 2진법에 의하여 수를 헤아리는 계수기이다. ... VHDL실습 6주차 레포트2bit binary counter& Mealy Machine담당교수 : 성광수 교수님담당조교 : 이무진 조교님전자공학과20721767 최아랑▷State MachineState ... 이 2진 카운터를 D-FlipFlip을 이용하여 구현해 보도록 하자.Down=0이면 up counter로 00, 01, 10, 11 의 순서로 카운터되고 Down=1일 경우 downcounter
    리포트 | 9페이지 | 2,000원 | 등록일 2010.12.27
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 결과(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. up counter 설계2. static 7 segment를 모듈화해서 사용codeCombo ... 및 변수를 가지는 모듈설계 조건codepin설정Combo box 실험결과(5) 실습58-bit 2’s complement signed binary 입력을 받아서 이를 decimal ... 토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 실습 5(binary to bcd conversion algorithm 조사)실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다.binary ... 기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation ... (2) 실습2설계 조건Design counter with Piezo 동작 검사1.TOP module 설정2. ... 조건codesimulation(5) 실습58-bit 2’s complement signed binary 입력을 받아서 이를 decimal 로 변환하여 FND array에 -128
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 시립대 전전설2 A+ 8주차 예비레포트
    확인: 8비트 binary 입력을 bus SW에 연결하여 오른쪽 표의 숫자들로 동작 확인할 것5) 응용과제Signed 4-bit up-down counter의 출력 값을 FND Array에 ... 3fnd_array.vtb_fnd_array.vSimulation실습 4binary to bcd algorithm 참조bin2bcd.vtb_bin2bcd.vSimulation응용과제up_down_counter_fnd.vtb_up_down_counter_fnd.vSimulation3 ... (상위 module에서 불러올 수 있도록 준비하는 것임)4) 실습 48-bit 2’s complement signed binary 입력을 받아서 이를 decimal로 변환하여 FND
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    시뮬레이션 결과 설계한 4-bit up counter의 동작을 확인하는 모습 (장비 동작 경우의 수가 많아 일부만 첨부)(2) [실습 2] Design counter with PiezoSource ... to decimal 로직으로, 8-bit 2’s complement signed binary 입력을 받아 이를 decimal로 변환하여 FND array에 -128~127로 표현하는 ... testbench 시뮬레이션 결과 설계한 Logic의 동작을 확인하는 모습(5) [실습 5] 8-bit 2’s complement signed binary 입력을 받아서 이를 decimal로
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • VHDL을 통해 구현한 Counter
    것이다.그림5. 4bit counter diagram5)Gray code2진수를 나타내는 방법중 하나로, 수의 크기가 변할 때, 인접한 수 사이에 한 자리만 변하게 만든 코드로, 일반적인 ... 2진수는 수가 커질 때, 1비트 이상의 자리수가 바뀌는 경우가 존재하지만, gray code는 항상 1비트씩만 변화되기 때문에, 회로의 복잡성과, noise를 줄이는데 용이하다.10진수Binary ... 1.목적(Purpose)이번실습은 지난번 실습에서 배운 FlipFlop을 이용하여 3bitcounter를 설계하는 실습이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 서강대학교 디지털논리회로실험 7주차 - Counter와 State Machine 설계
    이 경우 n-bit binary counter라고도 한다.Counter는 크게 비동기와 동기 counter로 나눌 수 있다. ... 우선 비동기 countercounter를 구성하는 FF들이 Clock 입력을 공유하지 않고, 첫 FF를 제외한 모든 FF가 이전 FF의 출력을 Clock 신호로 받게 된다. ... 그리고 Mealy/Moore State machine을 분석하고 설계할 수 있는 능력을 기르고, ISE의 bus 구현에 대해 배운다.2.
    리포트 | 32페이지 | 1,500원 | 등록일 2024.08.17
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    과정을 통해 4-bit-up-down counter를 구현할 수 있었습니다.3. ... Data analysis (compare results, reasons of error)1) 실습 1이 실습은 4-bit의 up counter를 single FND를 활용해 표시해주는 ... Results of this Lab (실험 결과)(1) Results of Lab 04-bit up counter의 출력 값을 single FND 에 표시하시오.- 입력:Clock
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    [실습 5] 8-bit 2’s complement signed binary 입력을 받아서 이를 decimal로 변환하여 FND array에 -128~127로 표현하는 모듈을 디자인 ... [실습 2] Design counter with PiezoSource codeTestbenchPIN testbench 시뮬레이션 결과c. ... [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과b.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로 (정연모) 기말 전체 족보 정리
    register 쓰는 이유5) PLA 설명6)hold time7)binary counter와 BCD counter 차이점2.binary adder 와 serial adder의 장단점 ... 2가지 이상씩 쓰기.3. 10100110을 해밍코드를 이용하여 12bit로 표현하고 유도하는 과정 서술. 11번째 bit가 오류일 때 C8C4C2C1이 무엇인지 설명하라. ... (단, 입력x, clock, reset, 출력 z)3.1) 4 비트의 asynchronous ripple counter를 T f/f 와 D f/f 각각을 이용하여 설계하고 설명하시오
    시험자료 | 2페이지 | 1,500원 | 등록일 2022.04.07
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    실험 방법[실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.- 입력: 1Hz clock, resetn- 출력: 16진수로 표시[실습 2] Design ... bit (unsigned) binary number가 주어진다고 하면 왼쪽으로 한 비트씩 옮기다가 100’s, 10’s, 1’s 각 칸에 5이상의 값이 채워지는 경우 3을 더한 후 ... bit up-down counter의 출력값을 FND Array에 표시하시오.- 입력: 1Hz의 Count clock- resetn: 0으로 초기화(비동기)- Up/down mode
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • DES 암호화 프로그램(파이썬)
    = 0):div = len(res) / 4div = int(div)counter =(4 * (div + 1)) - len(res)for i in range(0, counter):res ... (dec2bin(ord(character)))print("아스키코드 : ", ascii_values)print("이진수표기 : ", binary_values)2. 8자리 아스키코드로 ... = 0):dec = binary % 10decimal = decimal + dec * pow(2, i)binary = binary//10i += 1return decimaldef dec2bin
    리포트 | 14페이지 | 1,000원 | 등록일 2022.04.29 | 수정일 2022.05.10
  • 연세대학교 기초디지털실험 4주차 결과레포트 (sequential logic)
    First implement shift register, binary counter, BCD counter with verilog code and confirm the result ... In that case, D-FF delivers input value directly to output. 10-bit shift register was created with 10 ... In pic 2 shift_register module by linking the results of the previous D-FF to the input of the next
    리포트 | 16페이지 | 5,000원 | 등록일 2021.08.31 | 수정일 2022.12.15
  • 서강대학교 디지털논리회로실험 레포트 7주차
    이와 같은 연결이 계속되어 counter를 구성한다.그림 SEQ 그림 \* ARABIC 2. 4-bit binary ripple counter-동기 counter동기 counter는 ... 가장 일반적인 형태의 counter 유형은 n-bit binary counter이며 n개의 flip-flop으로 구성되어 2n개의 상태를 갖는다.그림 SEQ 그림 \* ARABIC ... Counter의 일반적인 cycle-비동기 counter별도의 부가적인 소자 없이 n개의 flip-flop만으로 가장 간단한 형태의 n-bit binary counter를 구성할 수
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 시립대 전전설2 A+ 6주차 예비레포트
    Up CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트 up-counter의 모듈 설계11) Sequential Logic Modeling순차회로 ... 특징을 가지는 4-bit up_down counter를 설계하고 시뮬레이션(적당한 입력 시퀀스 사용) 후 장비 동작 확인하시오.CLK은 1Hz입력 (전용 클럭 포트 사용, Pin ... .vtb_up_down_counter4.vSimulation6) 실습 6frequency_div.vtb_frequency_div.vSimulation7) 응용과제logic_5bit.vtb
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 디지털공학과제 - FSM STATE ENCODING
    Sketch circuit designs for such a counter using binary and one-hot state encodings.그림 3.28Sol) divide-by ... (표3)가 된다.바이너리 인코딩은 2비트의 상태를 사용한다. ... -3 counter state transition table은current statenext stateS0S1S1S2S2S0(표1) 가 되고divide-by-3 counter output
    리포트 | 2페이지 | 1,000원 | 등록일 2021.02.27
  • 홍익대학교 전전 실험1 레지스터 예비보고서
    일반적으로 N개의 플립플롭으로 구성된 링 카운터는 N가지 출력상태를 내며, 이것은 이진 카운터 (binary counter)가2 ^{N}가지 출력을 내는 것에 비할 때에 극히 비효율적인 ... 각 상태의 디코드 회로도 비교적 간단하므로 널리 이용되고 있다.존슨 카운터는 일명 트위스트 링 카운터 (twisted ring counter) 또는 시프트 카운터 (shift counter ... 목 적순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터 (shift register), 링 카운터(ring counter), 존슨 카운터 (Johnson couter), 의사
    리포트 | 6페이지 | 2,000원 | 등록일 2020.12.25
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림2 : waveform (eup = 1)그림3 : waveform (eup ... up down counter를 cascadable하게 구현했다. ... 처음 3개의 파형이 eup1이 1일 때이고 이어진 3개의 파형이 edn이 1일 때 파형이다.cout1, bout1 은 간격으로 1이 출력되고, cout2, bout2 은 간격으로 1이
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 논리회로실험 예비보고서8
    F/F을 이용한 counter는 최하위 bit부터 채워지는 2진 counter를 기본 바탕으로 하며 실험에서는 F/F을 이용한 counter와 BCD counter를 이용한다. ... 실험에 대한 이론·Counter상태들을 주기적으로 순환하는 순서회로로, 출력 형식에 따라 다양한 counter가 존재하는데 가장 널리 사용되는 카운터는 n비트 2진 counter이다 ... 위의 그림과 같이 2단 2진 counter는 출력이 2bit이기 때문에 입력 (A’,B’), (A,B’), (A’,B), (A,B)를 통해 4가지 출력을 디코딩할 수 있다.·7-segment7개의
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:04 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대