• 통큰쿠폰이벤트-통합
  • 통합검색(1,085)
  • 리포트(1,038)
  • 시험자료(28)
  • 방송통신대(9)
  • 자기소개서(6)
  • 논문(4)

"3 8 디코더" 검색결과 1-20 / 1,085건

  • 3 8 디코더
    Behavioral modeling Library IEEE; use IEEE.std_logic_1164.all; entity de_38 is port( X : in std_logic_vector(2 downto 0); EN : in std_logic; D : out s..
    리포트 | 9페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 3-8 decoder verilog code (+test bench code), 3to8 decoder. 3-8 디코더
    ; output Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7; reg Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7; reg Atmp, Btmp, Ctmp; ... module TTL74137 (G1, G2n, GLn, A, B, C, Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7); input G1, G2n, GLn, A, B, C
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.13
  • 38 디코더 설계 및 검증 논리회로 및 실습 보고서
    MyCAD 실습- 38 디코더 설계 및 검증 -1. (1) 아래 회로의 부울식을 구하고 이를 토대로 진리표를 작성하라.Y? ... 그러면 앞에서부터 8칸에 3개의 입력으로 8개의 출력 값을 표현 할 수 있다.디코더는 n개의 입력이 입력되면 2의 n승개의 출력이 나오는 것을 확인 할 수 있다.A = 2^2, B ... = (0)8, Y₁= (1)8, Y₂= (2)8, Y₃= (3)8, Y₄= (4)8, Y? = (5)8, Y? = (6)8, Y?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.08
  • 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    to-8 라인 디코더를 설계한다.② Binary-to-BCD Converter, BCD-to-7segment를 설계한다.실험결과1. 2-to-4 코드와 testbench를 작성하였다 ... 작성하였다.4. 3-to-8 코드를 시뮬레이션을 돌리고 올바르게 작동하는지 체크하였다.5. ... .2. 2-to-4 코드를 simulation을 돌려서 코드가 잘 작동하는지 확인하였다.3. 2-to-4 코드 모듈을 이용하여 3-to-8 코드를 작성하고 그에 맞는 Testbench를
    리포트 | 7페이지 | 1,500원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • [논리회로] 3x8 디코더
    인코더와는 반대로 아래의 그림은 3개의 입력과 각 각 입력이 부호화되어 단지 한 개만의 출력이 선택되는 디코더의 개념도를 보여주고 있다.Y0Y1A 3×8 Y2B line Y3C Decoder ... Y4Y5Y6Y7【3×8 디코더 블록도】일반적으로, 디코더는 2진수와 같이 코드화된 정보를 10진수나 문자와 같이 이해할 수 있는 형태로 변환하기 위해 사용된다. ... 3×8 디코더(Decoder)【기본이론】디지탈 시스템은 입출력 데이터로 0과 1 즉, 2진수를 사용하고 있지만 우리들은 10진수를 사용하고 있으므로 10진수가 이해하기가 쉽다.
    리포트 | 5페이지 | 1,000원 | 등록일 2003.08.13
  • 디지털시스템실험 3주차 예비보고서
    디코더란 이름은 BCD-to-7segment 디코더와 같은 코드 변환기와 결합되어 사용되기도 한다.예를 들어 3-to-8 디코더를 생각해 보자. 3개의 입력은 8개의 출력으로 해독되어지며 ... -4 라인 디코더 2개를 사용해서 3-to-8 디코더를 만드는 방법(그림 4)이 있다. ... 이용해서 바로 설계하는 방법과, 2-to-4 라인디코더 1개와 1-to-2 라인 디코더 1개를 조합해서 3-to-8 디코더를 만드는 방법(그림 3)그리고 enable이 있는 2-to
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 논리회로 연습문제 과제모음
    그룹, 총 3단의 디코더 구성이 필요4) 최종단은 32개의 출력이므로 무조건 2X4 디코더8개가 필요5) 중간단 2개의 입력(D, C 주소선)로 마지막단의 인에이블 기능을 선택해 ... ) 2x4 디코더는 2개의 입력을 4개로 출력하므로 각 인코더는 2비트의 주소선이 필요3) 따라서 B, A 주소선용 디코더 그룹, D, C 주소선용 디코더 그룹, E 주소선용 디코더 ... 7장:3.
    시험자료 | 8페이지 | 2,000원 | 등록일 2020.07.31
  • 시립대 전전설2 Velilog 결과리포트 5주차
    이번 설계에서는 3X8 디코더와 2:1MUX에 대해 알아보도록 한다.2. ... 조합에 대해서 M개의 출력 단자 중 1개만 High값이 출력되고, 나머지 출력단자에서는 Low값이 출력된다.그중 3x8 디코더3개의 입력선과 8개의 출력선을 갖는 디코더를 의미한다.CBAO7O6O5O4O3O2O1O00000 ... 배경 이론3x8 decoder디코더는 해독기를 의미하며 임의의 입력 번호에 대응하는 출력만을 활성화 시킨다.N비트 2진 입력 신호를 M개 (개) 의 출력 신호로 변환시키는 동작을 수행한다.입력
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    실현된 2:4 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라.74x138은 출력이 active low 상태인 3:8 디코더이다. 그 동작을 점검하라. ... A가 0, B가 1일 때 F는 1이다.실험4먼저 회로를 구성하기 위해 설계한 논리회로 설계는 다음과 같다.여기서 3:8디코더와 OR게이트가 필요하다. ... 각각 게이트의 데이터시트를 참고하여 회로를 구성한다. 3:8 디코더의 입력인 A, B, C 는 LED를 각각 연결하여 상태(0/1)를 확인할 수 있도록 하고, F의 상태(0/1)를
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • [논리회로실험] Decoder & Encoder 예비보고서
    실험이론1) Decoding- n비트의 2진코드를2 ^{n}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로- 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력 ... (S_0'S_3'S_4')'D_3=(S _{1}'S _{2}'S_3'S_4')'D_4=S_54) 실험 4 : 8X3 Priority Encoder- 주어진 회로를 구성한다.- 입력 ... Decoder Truth tableI _{1}I _{0}O _{3}O _{2}O _{1}O _{0}0000010100101001001110002) Encoding- 10진수나 8진수를
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 디지털시스템설계실습 우선순위인코더 결과보고서
    우선순위를 사용하지 않는 8X3 인코더에서 8비트의 입력 중 한 비트만 ‘1’이 되어야 하는데 잘못 입력하여 d0~d7에 “00101000”을 입력했다. ... 디코더의 입력 비트가 다음 표와 같을 때 필요한 출력은 몇 비트인가?입력비트출력비트42^4=1652^5=3262^6=64n2^n3. ... 표는 8X3 우선순위 인코더의 진리표이다.입력출력d0d1d2d3d4d5d6d7abcV00000000xxx0x10000000001xx1000000011xxx100000101xxxx10000111xxxxx1001001xxxxxx101011xxxxxxx11101xxxxxxxx11111
    리포트 | 3페이지 | 1,500원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    먼저 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 1
    3-to-8 디코더 (이번 실험에서 사용하는 74138)- 이 디코더3비트의 코드에 따라 8개의 출력 단자 중 하나로 신호를 내보낸다.- 이 디코더3개의 입력(A, B, C ... : 1~3번 핀)과 8개의 출력(Y0 ~ Y6 : 9~15번 핀, Y7 : 7번 핀)을 가지고 있다. ... 예를 들어 코드 ‘11’은 입력 D3가 ‘1’임을 나타낸다.? 디코더- 기본 디코더인 2-to-4 디코더를 살펴보자. 밑의 사진은 2-to-4 디코더의 진리표이다.
    리포트 | 12페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • <디지털회로실험>인코더,디코더와 코드변환기
    (그림8-8)3. ... (그림 8-5)실험 2) BCD-to-10진 디코더 회로- 그림과 같이 회로를 결선한 후, 출력을 살펴본다.- 출력이 0일 경우 LED에 불이 켜진다. ... (그림 8-6)실험 3) BCD to Gray 코드변환기- 그림과 같이 회로를 결선한 후, 함수발생기 Out1에서 얻으며, 주파수는 10Hz로 맞춘다.- -CLR 단자를 GND에 연결하여
    리포트 | 8페이지 | 2,000원 | 등록일 2023.10.24
  • 전기및디지털회로실험 실험7 결과보고서
    전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서8실험 고찰10실험명실험 7. 디코더와 인코더2. 실험 개요3. ... 이렇게 EN신호를 연결함으로써 C, B, A 신호에 000~111까지 8개의 입력신호 조합을 모두 입력해 보았을 때 8개 각각의 출력신호에 따른 LED가 점등했다.(2) 실험 (3) ... 4번 문항은 실험해보지 못했으나, 디코더의 보조입력신호를 통해 3입력 디코더 두개와 자체적으로 구성한 1입력 디코더를 통해 4입력 디코더를 구성할 수 있음을 예비보고서 문항과 가상의
    리포트 | 10페이지 | 1,000원 | 등록일 2024.03.12
  • multiplexer 가산-감산 예비보고서(고찰포함)A+
    디코더3비트의 입력,C,B,A와 8비트의 출력 Y로 이루어지며, 3개의 입력들의 조합으로 8종류의 출력 중 하나의 출력이 선택된다Demultiplexer = Enable 입력을 ... 이렇게 만들어진 8개의 함수를 8-입력 multiplexer에 입력할 수 있고 이때 출력은 3개의 변수로 제어 가능하다.전가산기74LS153은 전가산기를 구성하는데 사용할수 있다. ... 차를 발생시키는데 사용되고, 다를 하나는 자리빌림을 발생시키는데 사용된다.참고 자료 / 이론Decodern비트의 이진 코드를 최대 2n가지의 정보로 바꿔주는 조합 논리회로 이다.3X8
    리포트 | 6페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    이때 디코더를 이용하여 BCD코드를 활용하고 여러 가지 디코더를 활용한 설계를 진행하여 숫자표시기-디코더 조합의 사용법을 완벽하게 익힌다.3. ... LOW일때8이 LOW일때1이 LOW일때4. ... 따라서 D를 접지시키고 CBA를 인코더와 알맞게 연결하니 의도한 설계값에 맞는 결과가 도출됐다.다만, 실험 5 설계시 7404 IC칩을 사용하지 않고 8개의 단자의 대칭성을 확인하여
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    예를 들어 3번이나 8번 핀에 전원을 인가하고, 4번과 6번을 접지하면, ‘b'와 ’c‘의 마디가 on 되어 10진수 ’1‘이 표시된다.? ... D1 ~ D3은 7447 디코더이다.- 예를 들어 ‘123’을 표시할 때, F1은 ‘1’을 표시하고, F2와 F3은 각각 ‘2’와 ‘3’을 표시한다. ... 마디(a~g)와 연결한다.- 7447 디코더는 출력 단자로 ‘low'를 출력하여 7-segment의 각 마디를 온/오프한다.- 7447 디코더3~5번 핀은 제어 단자로, 7-segment를
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... 디코더 출력이 반전되는 경우, NAND 게이트를 사용하여 함수를 호출한다. 아래의 디코더 그림(왼쪽)은 3x8 디코더에서의 8개의 출력을 논리식으로 나타내고 있다. ... 실험 내용- 실험 1. 3x8 디코더를 설계하시오.(1) 진리표Y _{0} =A prime `B _{prime } prime `C` primeY _{1} =A prime `B _{prime
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • [건국대학교 논리회로 A+][2024 Ver] 10주차
    실습 A-5-5 (디코더) 경우의 수가 너무 많아서 몇 가지 예만 캡처하였습니다. (1) 아무것도 켜지지 않은 경우 (2) SW9가 켜진 경우 (3) SW9와 SW8이 동시에 켜진 ... 실습 A-5-5 (인코더) 경우의 수가 너무 많아서 몇 가지 예만 캡처하였습니다. (1) SW9가 켜진 경우 (2) SW9와 SW8이 동시에 켜진 경우 (3) SW8이 켜진 경우 ( ... 실습 A-5-5 (디코더) (1) A = 0, B = 0인 경우 (2) A = 0, B = 1인 경우 (3) A = 1, B = 0인 경우 (4) A = 1, B = 1인 경우 4.
    리포트 | 10페이지 | 5,000원 | 등록일 2024.08.14 | 수정일 2024.08.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대