• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,466)
  • 리포트(5,008)
  • 시험자료(310)
  • 방송통신대(84)
  • 자기소개서(26)
  • 논문(25)
  • 서식(10)
  • 이력서(1)
  • ppt테마(1)
  • 노하우(1)

"32비트" 검색결과 1-20 / 5,466건

  • 시스템 프로그래밍 3장 연습문제 와 16비트32비트 차이점
    스택은 기억 장치내의 어떠한 영역을 지칭한다.과제)프로세서 16bit32bit 의 차이점을 설명하라16bit32bit의 차이점8 bit 컴퓨터란 2의 8제곱인 256까지의 ... 그렇다면 16 bit는 16차선을 이용해 동시에 16대의 차가 짐을 나르는 것과 같고, 32 bit 컴퓨터는 32차선을 이용하여 동시에 32대의 차가 집을 나르는 것과 같다. ... 되고 전송할 때도 1사이클이 필요로하다. 32비트의 데이터는 2사이클을 거쳐야하기 때문에 16비트의 레지스터와 산술연산장치도 두번의 연산을 거쳐야 하나의 결과 값을 얻을 수 있고
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.03
  • 32비트 메모리로 misalignment 접근 읽기 쓰기가 가능한 메모리
    "32비트 메모리로 misalignment 접근 읽기 쓰기가 가능한 메모리"에 대한 내용입니다.
    리포트 | 10,000원 | 등록일 2021.11.16
  • 64비트 시스템은 한 번에 송신 32비트 수신 32 비트를 따로 처리 할 수 있다.다음 문항의 내용이 맞는 지 틀리는 지 구분하고, 그 이유를 작성하시오
    시스템프로그래밍토론 주제 : 64비트 시스템은 한 번에 송신 32비트 수신 32 비트를 따로 처리 할 수 있다. ... 따라서, 한 번에 송신 32비트 수신 32비트를 따로 처리하는 것은 아니며, 한 번에 64비트를 처리할 수 있습니다.예를 들어, 64비트 시스템에서는 64비트 크기의 데이터를 한 번에 ... 이러한 구조로 인해 64비트 시스템은 32비트 시스템보다 높은 처리 속도와 성능을 보여줍니다.따라서, 64비트 시스템은 한 번에 64비트를 처리할 수 있으며, 한 번에 송신 32비트
    리포트 | 1페이지 | 3,000원 | 등록일 2023.04.24
  • 도장 및 날인 이미지 제작 (한글/한자) 프로그램 입니다. (한자폰트 적용, 출력 이미지 : png 파일 32비트)
    (png 32 비트)대표이미지에서 보시다시피 설정에서 폰트, 글자크기, 글자두께, 도장유형을 설정하고 텍스트를 입력하고 적용버튼을 누르면하단에 미리보기 화면에 출력됩니다. ... 그리고 이미지 저장을 누르고 우측 폴더 버튼을 누르면 지정된 폴더가 오픈되면서 Stamp.png (32 비트) 파일 출력됩니다.이파일은 투명도 레이어가 있기때문에 날인으로 사용하시기 ... id=6812&irgwc=1&OCID=AID2000142_aff_7806_1246483&tduid=(ir__hwfx0z32bgkfrlkrkk0sohzx0m2xlw1xp9fov0nm00
    리포트 | 6,000원 | 등록일 2019.12.17 | 수정일 2019.12.31
  • 32bit CLA whith 4bit blocks
    project 2module ALU1(cin, a, b, s, cout);input [31:0] a, b;input cin;output [31:0] s;output cout;wire w0, w1, w2, w3, w4, w5, w6;cla4 cla4_0(.cin(cin)..
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.29 | 수정일 2014.03.29
  • 윈도우7 32비트 64비트 성능분석
    CPU Queen과 CPU HASH Workloads에서 32비트가 높게 측정 되었다Initial State 3Photoworxx와 AES에서 뚜렷한 향상64비트에서 32비트에 비해 ... 비해 64비트에서 32비트에 비해 각각 약 16%, 19%의 성능향상 대부분의 CPU연산이 64비트 운영체제에서 높게 측정되었다. ... String sorting항목을 제외하곤 모두 비슷하거나 64비트가 조금 높게 나옴1GB 상에서만 string sorting항목에서 32비트가 높음Initial State 332비트
    리포트 | 27페이지 | 2,000원 | 등록일 2012.08.09
  • 인하대학교 디지털시스템설계 (verilog) 32bit ALU 설계
    1. 과제목적1. generate 구문의 사용법을 익힌다.2. ALU의 구조와 동작방식에 대해 알아본다.3. Hierarchical 구조 모델링을 익힌다8. 고찰처음 최상위 모듈을 작성할 때 Set값을 wire로 선언하지 않고 시뮬레이션을 실시하였다. 코드상 에러는 발..
    리포트 | 12페이지 | 2,000원 | 등록일 2017.01.06 | 수정일 2018.03.24
  • 32비트 가감산기 SystemVerilog 소스 코드
    package my_type ; typedef enum bit { add=1'b0, sub=1'b1} op_e ;endpackageimport my_type::* ;module addsub32 ... [31:0] a, b; input bit cin; input op_e op; bit [31:0] difference ; bit [31:0] summation ; ... wire [31:0] #1 not_b = ~b; // transport delay 1 time unit (measns 1ns) wire #1 not_cin = ~cin; addsub32
    리포트 | 2,000원 | 등록일 2013.03.18
  • 32비트 ALU Verilog설계
    연산결과n1bitnegativez1bitzeroc1bitcarry outv1bitoverflow구분이름설명modulealu32bit aluinstancecla3232bit clainstancenot_a032bit ... not ainstancenot_b032bit not binstanceand032bit a and binstanceor032bit a or binstancexor032bit a xor ... 플래그의 변화는 없다.210ns32비트 a와 b를 xor연산한 결과 a와 b의 모든 비트가 같으므로 32비트 모두 0가 된다. zero flag가 1이 된다.220ns32비트 a와
    리포트 | 34페이지 | 1,500원 | 등록일 2010.12.21
  • 프로세스 관리 프로그램(win7 32bit OS)
    win7 32bit환경의 프로세스 관리 프로그램입니다.주요 기능은-현재 PC에서 실행중인 프로세스의 목록(프로세스명, PID, 자식쓰레드수, 부모쓰레드, 우선순위, 메모리사용량, 경로 ... 재시작-선택한 프로세스 우선순위 증가, 감소-선택한 프로세스 강제종료등의 기능이 있습니다.전문적인 프로세스관리프로그램이 아닌 교육용으로 참고하시면 도움이 될거라고 생각합니다.window7 32bit
    리포트 | 5,000원 | 등록일 2013.07.13
  • verilog, 베릴로그, 베릴로그로 짠 32bit ALU
    ->overflow검출기->1bit_ALU 32개->2-to-1 멀티플렉서->Full_Adder->Half_Adder 2개->8-to-1 멀티플렉서->Shift_left 모듈◎one_bit_ALU ... Code capture◎_32bits_ALU Code capture◎Full_Adder 및 Half_Adder Code capture-Full_Adder Source--Half_Adder ... sum3sumlw $a0, 0($sp)352940lw $ra, 4($sp)3529314addi $sp, $sp, 8829298add $v0, $a0, $v00422032jr $ra0310008_32bits_ALU
    리포트 | 8페이지 | 1,000원 | 등록일 2011.10.13
  • 항공대 컴퓨터구조 최종 보고서 MIPS 16bit32bit로 확장 설계
    때 교육목적의 칩 또한 32비트의 이해를 바탕으로 하여야 한다는 인식 아래 16비트 MIPS를 32비트로 바꿈으로써 차이점을 분석하고 칩 이해의 폭을 넓히는데 목적이 있다.3. ... 내용 요약16비트 MIPS 칩을 변경하여 32비트 MIPS 칩을 설계하고 결과 확인 및 테스트를 통한검증을 실험한다.2. ... 연구 동기16비트 칩이 가지고 있는 구조적인 한계를 극복하고 성능의 향상을 위해 실행되는 비트수의 확장이 필요함을 인지하게 되었다.또한 현재 상용되고 있는 칩이 32비트임을 가만할
    리포트 | 12페이지 | 3,000원 | 등록일 2012.11.03
  • 부동 소수점 곱셈기 논리 회로 설계(32비트)
    리포트 | 3,000원 | 등록일 2013.07.21
  • C언어를 이용한 32bit multiplier 설계(디지털설계)
    입력되는 32bit 숫자는 int형으로 num1, num2라는 변수를 이용하였고 결과값도 printf가 32bit까지만 지원하기 때문에 32bit로 제한하였습니다. ... 뒤에서부터 3bit씩만 남기기 위하여 제일먼저 16진수 0x07와 and시키면 하위 3비트만 남게 됩니다. ... 그 전에 최하위비트 이전에 ‘0’을 추가해주어야 하는데 저는 num2를
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.01
  • 32비트(int) 만으로 64비트의 곱셈 실행
    “);scanf (“%d %d”, &x, &y);mult32bits (x, y, &xyh, &xyl);/* The following outputs should be same */printf ... 핵심 아이디어32비트인 정수를 16비트씩으로 쪼개서 연산을 한다. 정수 x의 높은 자리와 낮은 자리, 정수 y의 높은 자리와 낮은 자리로 나눈다. ... 높은 자리끼리 곱하면 나온 숫자는 32자리의 비트가 뒤로 생략된 숫자고, 낮은 자리는 자릿수가 그대로다. x의 높은 자리와 y의 낮은 자리, y의 낮은 자리와 x의 높은 자리끼리 곱하여
    리포트 | 6페이지 | 2,000원 | 등록일 2009.04.26
  • 32bit instruction simulator 구현 (코드포함)
    제목 : 32bit instruction simulator 구현2. ... 구현하여 32bit instrution이 RTL 구조를 통하여 어떻게 실행되는지를 관찰 후 이론과 비교하여 결과를 도출한다.3. ... 목적 : 32bit instruction simulator 구현하고 dataflow를 관찰한다.- 수업시간을 통해 학습한 RTL 구조를 이해하고, 관련 지식을 바탕으로 C언어로 simulation을
    리포트 | 21페이지 | 5,000원 | 등록일 2010.10.26 | 수정일 2024.01.04
  • 디지털 회로 설계 32 bit carry lookahead adder vhdl quartus 설계 code 포함
    Title : Quartus2를 통한 32-bit CLA(Carry-Lookahead Adder)의 설계와 구현.2. ... 각각의 full adder는 3개의 logic gate를 필요로 한다. 32 비트의 ripple carry adder에서는, 32개의 full adder들이 있다. ... Digital Logic Design Project #1Title: Design and Synthesis of 32-bit CLA(Carry-Lookahead Adder)1.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.15 | 수정일 2021.07.26
  • Structural/Behavioral 32-bit Adder
    3. 결론 동작적 표현(Behavioral Representation)은 설계하고자 하는 하드웨어의 구조와는 관계없이 시스템의 동작을 알고리즘 레벨에서 C프로그래밍과 같이 표현하는 것을 말한다. VHDL의 동작적 표현을 위한 가장 일반적인 구문으로서 사용되는 것이 프로..
    리포트 | 10페이지 | 1,500원 | 등록일 2008.05.04 | 수정일 2019.04.10
  • 32 bit CRC 에러 체크 코드입니다.
    입력 32bit ASCII 입력출력 CRC입력 수신출력 ERROR 유무. ... 마지막 최고비트는 오버해드비트로 0으로 둠.printf("Input Generation polynomial(한 비트씩 4비트입력하시오):\n");for(m=3;m>=0;m--){ ... #include //입력이 11비트의 코드 워드와 4비트 디비전이며 출력은 에러유무(시프트레지스터구조로 코드작성)void Binary(char input);void crc(int division
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.28
  • 32-bit single-cycle MIPS microprocessor design
    Design Requirements32-Bit Single-Cycle MIPS Microprocessor Design The MIPS processor to be implemented
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.11
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대