• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,237)
  • 리포트(1,180)
  • 시험자료(40)
  • 논문(5)
  • 자기소개서(5)
  • 방송통신대(5)
  • ppt테마(2)

"4×10 Decoder" 검색결과 1-20 / 1,237건

  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 ... in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample of ud_and4 ... Decoderud_and4.vhdlibrary ieee;use ieee.std_logic_1164.all;--libraryentity ud_and4 isport( in1, in2,
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • [논리회로실험] 실험5. Decoder&Encoder 결과보고서
    gate 로 구성된 Decoder로 실험한 결과 2비트인 00, 01, 10, 11의 값이 4비트인 0001, 0010, 0100, 1000로 예상결과 truth table과 완벽하게 ... Decoder는 출력보다 작은 입력을 가지며 2x4 Decoder의 경우 2bits의 입력을 가하면 그의 제곱 만큼인 4bits의 출력값이 나오게 동작한다. and gate, not ... 일치했다. 00일 때 0이며 D=4에 불이 들어왔고, 01일 때 1이며 D=3에 불이 들어왔다. 10과 11도 각각 3과 4로 D2와 D1에 불이 들어오는 것을 확인할 수 있었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.28
  • [논리회로실험] Decoder & Encoder 예비보고서
    Decoder Truth tableI _{1}I _{0}O _{3}O _{2}O _{1}O _{0}0000010100101001001110002) Encoding- 10진수나 8진수를 ... 10진 / Excess-3 코드- 주어진 회로를 구성한다.- 입력 값을 변경해가며 변화를 관찰한다.- 예상결과S _{5}S_{4}S_{3}S_{2}S_{1}S_{0}D _{4}D _ ... 실험과정 및 예상 결과1) 실험 1 : 2X4 Dencoder- 주어진 회로를 구성한다.- 입력 값을 00, 01, 10, 11 으로 변경해가며 변화를 관찰한다.- 예상결과ABD _
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 인하대 VLSI 설계 Microprocessor 프로젝트 결과보고서
    SRAM Decoder4. SRAM Controller5. SRAM Cell6. SRAM Block7. Demux8. D-FlipFlop9. ALU10. ... -> i02- input data1이 i10, i11, i12 중에 하나로 출력이 된다.- DIR_EXE = 00 -> i10- DIR_EXE = 01 -> i11- DIR_EXE ... Add구간에서 빨간색으로 표시한 연산 부분을 예로 들면 SRC1에 4’b1100, SRC2에 4’b1111입력이 들어오면 SRC1+SRC2를 한 결과인 4’b1011이 출력 결과 S로
    리포트 | 52페이지 | 3,000원 | 등록일 2023.03.15 | 수정일 2023.05.10
  • 시립대 전전설2 Velilog 결과리포트 5주차
    그 외에 casex는 case 수식의 모든 x와 z값을 dc(don't care)로 다룬다.38Decoder는 4:1 Mux는 입력이 4개이고 출력이 하나인 회로가 되어야 하므로 Select의 ... 4비트의 2진수를 이용하여 1자리의 10진수를 표현한 코드 방식을 말한다. ... 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험 목적배경 이론실험 장비시뮬레이션 결과와 실험 결과의 비교38Decoder4
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    실험을 통해 디코딩(Decoding)과 인코딩(Encoding)의 동작을 확인한다.(2x4 Decoder, BCD to Decimal Decoder, 인코딩-10진/Excess-3 ... code- 8421(BCD) code는 10진수를 4bit 2진수로 나타낸 code이다. ... 디코더2 × 4 디코더 진리표A BD0 D1 D2 D30 01 0 0 00 10 1 0 01 00 0 1 01 10 0 0 1진리표의 불 대수식은 다음과 같다.D0 = A'B', D1
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 삼성 전자(화상면접자료)
    (2)*Decoding (3)c1rc4c3c2c6c5Decoding plane*Problems in coding theory*Results (1)“Improved linear programming ... Research experience 2020.03.10*ExampleError-correcting codes are used to correct messages when they are ... The “4” infinite families are size optimal codes. The “4” infinite families are minimal codes.
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • [A+]아날로그및디지털회로설계실습 10장 결과보고서
    전자회로 설계실습설계실습 10. 7-segment / Decoder 회로 설계4조 결과보고서1. ... 방식인 7-segment LED로 바꾸어 주기 위해서 decoder를 사용한다. 0부터 9까지의 10개의 숫자를 나타내기 위해서는 4개의 입력 bit이 필요하고 7개의 segment를 ... > 2(10)A=0,B=0,C=1,D=1, -> 3(10)A=0,B=1,C=0,D=0, -> 4(10)A=0,B=1,C=0,D=1, -> 5(10)A=0,B=1,C=1,D=0, ->
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.18
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 10차 예비보고서
    일반적인 7-segment decoder는 0~9까지의 10개의 숫자를 나타내기 위해 A~D까지의 4개의 입력 bit과 7개의 segment를 점등하기 위해 a~g까지의 7개의 출력 ... 실습 준비물실습 준비물부품저항 330Ω, 1/2W, 5%Decoder 74LS47Inverter 74HC047-SegmentSwitch8개1개8개1개4개사용장비오실로스코프 (Oscilloscope ... 2진수로 표현되는 디지털 회로의 출력은 10진수 방식을 사용하는 7-segment LED에 사용하기 위해 Decoder가 필요하다.
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • 컴퓨터 내부에서 사용하는 명령어 사이클의 4가지 단계에 대해서 비교 설명하시오
    컴퓨터 내부에서 사용하는 명령어 사이클의 4가지 단계에 대해서 비교 설명하시오목차Ⅰ. 서론Ⅱ. 본론1. 명령어 인출 (Fetch)2. 명령어 해독 (Decode)3. ... 통계적으로, 레지스터 파일의 접근 시간은 일반 메모리보다 평균적으로 10배 이상 빠르다. ... 예를 들어, 인텔 코어 i7 프로세서는 초당 약 10억 개 이상의 명령어를 인출할 수 있는 능력을 갖추고 있다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.08.11
  • 실습 10. 7-segment_Decoder 회로 설계
    먼저 Decoder에 4비트 입력이 주어졌을 때 적절한 조합논리회로를 거쳐 출력값들을 제공한다. ... BCD-to-10진 디코더는 4개의 입력을 사용하고 16가지의 출력 중에서 10가지만 사용해서 BCD부호로 표시된 수치를 십진법으로변화시킨다.7-segment LED는 숫자를 표시하는 ... 아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    방식인 7-segment LED 로 바꿔 주기 위해 Decoder 를 사용해야 한다.일반적으로 7-segment decoder 는 0~9 까지 10개의 숫자를 나타내기 위해 4개의 ... 수치로 나타내는 수치 디스플레이 장치 등에 사용된다.N 개의 입력에 대해 최대 2N 개의 출력 단자가 가능하며 BCD-to-10진 디코더의 경우 4개의 입력과 16가지 출력 중에 ... 는 0~9 까지 10개의 숫자를 나타내기 위해 A~D 까지 4개의 입력 bit 가 필요하며, 7개의 segment 를 점등하기 위해 a~g 까지 7개의 출력 bit 가 필요하다실습에
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, Encoder 실험결과보고서
    실험 목적Decoder와 Encoder를 이해하고, 2x4 decoder, 4x2 encoder, 3x8 decoder를 논리회로로 설계할 수 있다.Chapter 2. ... 한다.ü Decoder- 2진수 입력값을 10진수 값으로 변환하여 출력한다.ü- 입력값 a, b를 받아 d3, d2, d1, d0의 값으로 출력한다.- 총 4개의 minterm을 만든다 ... 통해 확인할 수 있다.- 입력값이 4개이지만 항들을 4개만 고려한다.ü 3x8 Decoder- 2x4 Decoder와 마찬가지로 출력에서는 단 한 개의 비트만이 1이 되는 것이 특징이다
    리포트 | 8페이지 | 2,500원 | 등록일 2023.02.28
  • decoder&encoder 결과보고서(기초실험1)-틴커캐드
    실험에서 사용한 2x4 decoder를 예로 든다면, Q0가 의 자리를 의미하고 Q1이 의 자리를 의미하고, D의 밑으로 표현된 수가 10진수로 표현된 수라면, 2진수로 표현된 비트를 ... 즉, decoder는 입력된 수를 비트로 표현된 수이며 그 수를 10진수로 표현할 수 있도록 하는 역할을 한다고 할 수 있다. ... 10진수로 바꾸는 역할을 한다고 할 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.05.03 | 수정일 2023.11.29
  • 10. 7-segment / Decoder 회로 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    실습 준비물부품저항 330Ω, 1/2W, 5%8개Decoder 74LS471개7-Segment1개Inverter 74HC048개Switch4개사용장비오실로스코프 (Oscilloscope ... 않아, 74HC42 BCD to decimal decoder로 대체하여 표현하였다. 74HC74은 10-3-1, 10-3-2에서 구한 진리표와 불리언 식을 가지는 decoder이다 ... 실험목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.10-2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.06
  • 기초실험1 7 segment counter 결과보고서(틴커캐드)
    Decoder에 입력되는 이진수에 따라 대응되는 10진수의 표기를 LED를 통해 확인할 수 있었다. ... 즉, DECODER에 입력되는 이진수를 10진수의 숫자(0~9)로 LED 디스플레이를 통해 나타낸다고 이해할 수 있었다. ... 그래서 저항(145옴)을 통해 전류의 양을 조절했다.2. counter(1) 4 bit decade counter: 4bit decade counter를 이용해 입력되는 값이 0000부터
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 실습 10 7-segment Decoder 회로 설계 예비보고서
    실습 10. 7-segment / Decoder 회로 설계10-1. 실험목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.10-2. ... 실습 준비물부품저항 330Ω, 1/2W, 5% : 8개Decoder 74LS47 : 1개Inverter 74HC04 : 8개7-Segment : 1개Switch : 4개사용장비오실로스코프 ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표이론: 7-Segment: 이진수를 십진수로 표현하는 방법으로 7-Segment display(SSD)에 의해 물리적으로
    리포트 | 3페이지 | 2,000원 | 등록일 2022.09.19
  • 아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    (A)에서 생성된 Clock신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 따라서, decoder의 4개의 input으로 카운터의 3,2,6,7노드를 연결하면, 7개의 ouput이 나오게 되며 이를 각각 7-segment LED에 저항과 함께 연결하면, BCD카운터가 ... reset되도록 설정한 것 이외에 나머지 두개의 10진 카운터는 원리가 동일하다.전체적으로 실습은 이상없이 잘 진행되었으나, 12-4-1 실습 후 그 상태 그대로 소자들을 추가하여
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 아날로그 및 디지털 회로 설계 실습 결과보고서10 7segment/decoder 회로설계
    아날로그 및 디지털 회로 설계 실습-실습10. 7-segment/Decoder회로설계-10-4 설계실습 내용 및 분석7-segment 특성 확인주어진 7-segment의 Type(Anode ... decoder였음을 배울 수 있었다. ... 입력전압은 Vcc로 5V를 인가하였으므로 당연하게도 약 5V가 나타났다. 10-5.
    리포트 | 10페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7- ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대