• 통큰쿠폰이벤트-통합
  • 통합검색(219)
  • 리포트(202)
  • 시험자료(15)
  • 자기소개서(1)
  • 방송통신대(1)

"4비트 gray 코드" 검색결과 1-20 / 219건

  • 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 따라서 각 4비트 그레이코드에 해당하는 2진코드를 확인할 필요가 있다. 이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다. ... 출력된 B1와 G0 (LSB)의 입력값을 XOR 연산하고 B0 (LSB)에 출력한다.B) 진리표와 최소식위 방식은 그레이코드4비트 2진코드로 변환하는 일반적인 방법이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 디지털논리회로(챕터1,2,3)
    다음 BCD 코드를 10진수로 변환하여라.① 10000000 ③ 11010001107. 2진 코드그레이 코드로, 그레이 코드는 2진 코드로 변환하여라.① 1011(2) ③ 1001 ... (풀이) 1word = 4Byte = 32Bit ∴ 64 × 32 = 2048(=2K)Bit② 1024워드에는 몇 비트가 있는가? ... (풀이) 1word = 4Byte = 32Bit ∴ 1024 × 32 = 32,768(=32K)Bit1.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.10.10
  • 디지털통신시스템설계 12주차 실습
    Gray coding의 원리는 심볼들을 가장 인접한 심볼간 비트 차이가 1이게끔 만들어 오류가 생기더라도 1비트만오류가 발생하게 되기 때문이다.BER 외에도 둘의 성능을 비교 분석해볼 ... 이번 과제를 통해 Gray coding 된 QPSK 와 BPSK의 BER이 같다는 것을 알 수 있었다. ... • Discussions이번 시간은 Gray coding 된 QPSK 변조와 실습에서 구현했던 BPSK의 BER을 비교하는 시간이었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.16
  • VHDL을 통해 구현한 Counter
    것이다.그림5. 4bit counter diagram5)Gray code2진수를 나타내는 방법중 하나로, 수의 크기가 변할 때, 인접한 수 사이에 한 자리만 변하게 만든 코드로, 일반적인 ... 2진수는 수가 커질 때, 1비트 이상의 자리수가 바뀌는 경우가 존재하지만, gray code는 항상 1비트씩만 변화되기 때문에, 회로의 복잡성과, noise를 줄이는데 용이하다.10진수Binary ... Counter는 gray code와 binary code를 count하는 두가지 방식으로 설계한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 디지털공학 실생활 사례
    Frank Gray가 아날로그 신호를 반사된 이진 코드(reflected binary code)로 변환하는 방법을 발명했는데, 그 이름을 따서 Gray code라고 불리게 되었다. ... 현대의 디지털 통신에서 그레이 코드는 오류 수정에 중요한 역할을 한다. ... 예를 들어, QAM과 같은 디지털 변조 방식에서 데이터는 일반적으로 4비트 이상의 기호로 전송되며, 인접한 별자리 점으로 전달되는 비트 패턴이 1비트만 달라지도록 신호의 별자리 다이어그램
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.12
  • <디지털회로실험>인코더,디코더와 코드변환기
    다음 비트 값이 된다.이와 같은 동작을 반복하며 BCD to gray 코드변환기는 작동한다.BCD를 Gray 코드로 변환시키는 이점은 연속된 값에서 1비트만 변경되므로 에러가 적다는 ... 3) BCD to Gray 코드변환기BCD 입력Gray 코드 출력ABCA'B'C'0000000010010100110110101001101011111101011111005. ... 코드변환기2진수를 gray 코드로 변환하는 과정은 먼저, 2진수의 MSD는 그대로 gray 코드의 MSD가 되고, 2진수의 MSD와 다음 입력 비트를 XOR 하면 gray 코드
    리포트 | 8페이지 | 2,000원 | 등록일 2023.10.24
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    출력이 입력보다 적은 bit수를 지니는 code 변환 논리회로이다. ... 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... SOP 논리식이 나오게 된다.3.2 Decoder디코더는 하나의 code 체계를 다른 code 체계로 변환하는 논리 회로이다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 부산교통공사 통신, 전기, 신호 전공 문제 기출 복원(09~15년)
    에러검출용코드가 아닌것은? poll code28. 셀룰러 이동통신시스템에 대해서 나왔는데..격이..긴지문29. 코드가 가져야 할 조건으로 옳지 못한 것은? ... 그레이 코드 2진수로 바꾸는 문제.7. 바우드를 bps로 고, C 출력 게이트 묻는 문제=> AND게이트랑 NOT 연결로 NAND게이트15. 공진 첨두값 범위 문제16. ... 그레이 코드 -> 2진 코드로 변환28. 방송계가 아닌 것은? VTR29. 위상 변조도 구하는 문제: 1.530. 위성 궤도 이탈시 : AOCS31.
    자기소개서 | 11페이지 | 15,000원 | 등록일 2021.12.13
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서7
    역을 입력 ss의 gray code가 출력된다. ... 메모리 동작을 하기 위한 순서대로 한 후에 Address입력 Binary DCBA를 입력하고 그 Address에 입력시킬 DI를 입력 시키는데 Address의 gray code값의 ... 이 조합에 의해 입력버퍼가 ENABLE 되고, 4비트 워드는 선택된 레지스터에 로드될 데이터입력으로 공급된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 한국교통대학교 디지털공학 기말고사 풀이본(2022(
    the count. (6) A common 7-bit code used to represent numbers, letters, punctuation marks, and control ... characteristic of the ( gray code) is that only one digit changes state as you increment or decrement ... and is commonly used in battery powered products. (4) The drive capability of logic device outputs is
    시험자료 | 5페이지 | 7,000원 | 등록일 2022.06.24 | 수정일 2023.02.05
  • [논리설계] 연습문제 2장 풀이
    8,4,-2,-1 가진다= 137(4) 그레이 코드0111 0101 1001 (-> (2)0101 1001 0001= 14252.27 - 4928을 ASCII 코드로 표현(홀수 패리티 ... 61 F4 E5 20 32 372.30 - 0110에 대한 해밍 코드: 0 1 1 0 0 1 12.31 - 8비트 컴퓨터에서 해밍 코드 사용중이고 저장할 데이터가 10010100( ... 1) 검사 비트: p1 = 1, p2 = 1, p4 = 0, p8 = 1
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.31
  • 코드변환 설계
    실험 원리① 2진 코드그레이 코드로 변환의 원리그레이 코드는 최상위비트(MSB)는 그대로 내려쓰고, 그다음 그레이 비트부터는 앞의 2진 비트와 그다음 2진 비트를 비교하여 같으면 ... ,G _{4}는 High(1)가 나온다.② 그레이 코드를 2진 코드코드의 다음 비트를 비교하여 같으면 0, 다르면 1을 나타내는 논리연산자이며 XOR Gate의 논리와 같아 XOR ... G _{4}G _{3}G _{2}G _{1}G _{0}0101101110101011111111010101111111110000② 그레이 코드를 2진 코드로 변환 실험입력 스위치G _
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.16 | 수정일 2022.04.23
  • 영상처리 분해능 양자화 및 디더링
    평면의 Channel 별 Display.입력 영상: buffalo.tif입력 영상을 c0 ~ c7 까지 bit 평면별로 display 하고 영상을 출력하시오(8개 영상)소스코드 : ... ),gray(4))figure,imshow(grayslice(c,8),gray(8))figure,imshow(grayslice(c,16),gray(16))4. p 64 오차의 확산입력 ... 디지털 영상처리 Homework #1MS-word 또는 hwp 파일에 포함시켜 1개의 파일로 upload 하시오.)Chapter 3 & Chapter 41. chapter 3.4 Bit
    시험자료 | 3페이지 | 1,500원 | 등록일 2021.05.12
  • 합격자가 알려주는 남동발전 전기NCS 전자 및 통신일반
    (가중치 코드, 자기보수 코드)⑦ 그레이(gray)코드 : 비가중치 코드로 연산이 불가능하며, 입출력 장치, A/D 변환기 등으로 사용.gray → 2진수2진수 →gray1 1 1 ... 0(gray)↓↗ ↓↗ ↓↗↓1 0 1 1(2진수)1 → 0 → 1 → 1(2진수)↓ ↓ ↓ ↓1 1 1 0(gray)⑧ 패리티 비트(Parity bit) : 에러 검출 코드로 홀수 ... 수 있다.㉠ 패리티 비트의 위치 : 1, 2, 4, 8, 16, 32…㉡ 기본 해밍 코드 : 패리티 비트 3개와 8421 코드로 구성.
    시험자료 | 31페이지 | 11,900원 | 등록일 2021.03.10 | 수정일 2022.04.19
  • 논리회로의 간소화, 멀티플렉서를 이용한 조합논리 예비레포트
    Karnaugh 맵을 그릴 때 입력 변수들은 맵의 왼쪽 옆과위쪽을 따라 그레이(Gray) 코드 순서로 쓰여진다. ... BCD는 0에서 9까지의 십진수를 표현하는 4비트 2진 코드이다. 그러므로 2진수 1010에서 1111까지는 무효한 BCD 코드이다.(1). ... 여기서 회로는 4비트용으로 설계하지만 레지스터 A를 위해 8비트로 쉽게 확장될 수 있다.2) 멀티플렉서를 이용한 조합논리멀티플렉서(multiplexer) 또는 데이터 선택기(data
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 비동기 카운터, 동기 카운터 설계 예비레포트
    코드 동기 카운터는 state machine 설계에 자주 사용된다. 6-상태의 Gray 코드가 필요다고 가정하자. ... 일반적인 Gray 코드 시퀀스는 사용되지 않는다. 왜냐하면 6 번째 상태가 다시 0 상태로 되돌아올 때 Gray 성질을 잃기 때문이다. ... 플립-플롭 중 3개는 3-비트 카운터를 형성하고 4번째 플립-플롭은 분리되어 있으며 자체 클럭 입력을 가지고 있다. 4-비트 카운터로 구성하기 위해서는 단일 J-K 플립-플롭의 출력
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 컴퓨터일반 전자 계산기 구조
    zone 3 + digit 4 = 7bit , 데이터 통신, 전송제어문자EBCD: zone 4 + digit 4 = 8bitUniCODE: 16bit가중치 코드: 8421, 2421 ... 8421(-2,-1), 5111, Excess-3 코드Gray 코드: A/D 변환기, XOR 이용에러검출- 패리티비트: 에러검출 O, 수정 X- 해밍코드: 에러검출 O, 수정, O ... (에러발생 위치 파악), 데이터 비트수 증가시 패리티 비트↑4) 수치형 데이터 표현(1) 정수10진 연산- 언팩: 연산X, 입출력O, F5F2C7 (C : +, D : - )- 팩
    리포트 | 7페이지 | 2,000원 | 등록일 2020.11.21
  • 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    예를 들어, 존슨 카운터의 시퀀스 중 상태 하나가 1110000이라면 그 시퀀스는 그레이 코드의 특성을 띠고, 1100011인 경우 그레이 코드의 특성을 띠지 않는다.[4]3. ... (C)Q(D)S(0)0000S(1)1000S(2)1100S(3)1110S(4)1111S(5)0111S(6)0011S(7)0001S(0)0000< D 플립플롭 기반의 존슨 카운터 > ... 존슨 카운터의 시퀀스 중 임의의 상태 하나를 MSB->LSB 또는 LSB->MSB 방향으로 비트를 하나 씩 스캔할 때 한 번만 변화한다면 그레이 코드의 특성을 지니게 된다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 기초전자회로실험 - 비동기,동기 카운터 예비레포트
    6개의 상태를 가진 카운터(즉, 6개의 이진수로 어떤 동작패턴을 구현한 카운터)이다. gray code는 상태 시퀀스에서 연속된 이진수와 1bit만 다르도록 설계한 것이다.위의 개념을 ... 마지막으로, 구한 식을 바탕으로 회로를 설계하고, 모든 플립플롭들의 Clk입력은 하나의 클록신호만 받게끔 연결한다.[9]4) 6-mod gray code 동기식 카운터6-mod란, ... 관찰된 파형을 도표6에 그려넣어라.19-(7) : 7493A 4-비트 2진 카운터의 플립-플롭 Qa의 출력을 클럭B입력으로 연결함으로써 0에서 15까지 계수를 위한 회로로 구성할수
    리포트 | 11페이지 | 2,000원 | 등록일 2021.02.27
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    (else같은 것)50~60줄 : 4비트로 16가지 경우의 수 중에 10개만 쓰고 나머지는 정의를 안했으므로 others이다.Case를 사용한 BCD_counter의 타이밍 시뮬레이션도주의 ... Gray Code Counter3. 10/16 Dual Counter4. Crosswalk Controller 횡단보도 제어기5. ADC Controller6. ... 만들기펑션 시뮬레이션이 아닌 타이밍 시뮬레이션을 구동하게 될 경우, 지연시간이 발생하여 0번 비트와 1번 비트가 동시에 바뀌는 순간 글리치가 발생하는 현상을 예방하기 위해 비트
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 08일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대