• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,441)
  • 리포트(5,198)
  • 시험자료(125)
  • 자기소개서(42)
  • 방송통신대(32)
  • 서식(19)
  • 논문(15)
  • ppt테마(9)
  • 노하우(1)

"5TB" 검색결과 1-20 / 5,441건

  • 균주별 감염관리( 1.MRSA, 2.VRE, 3.PR 4.MDR-Tb 5.C-Difficle 6.AIDS) , 중환자실, 감염관리
    균주별 감염관리목차 1.MRSA 2.VRE 3.PR 4.MDR-Tb 5.C-Difficle 6.AIDS주요 항균제 내성균 종류 : ( 항균제 내성균 최종 관리지침 기준 :Global ... 1.0㎛, * D 군 연쇄구균으로는 드물게 운동성 균주가 있음 . * 6.5% Nacl 의 존재 하에서 성장가능 . * 40% 담즙의 존재하에성장 * 예외적으로 E.faecalis ... E.faecium (10~20%) 두종이 대표적인 병원균 * 사람의 VRE 는 대부분 E.faecium 종임 .형태와 분류 * 보통 쌍구균 또는 짧은 사슬로 성장 . * 직경 0.5~
    리포트 | 59페이지 | 2,000원 | 등록일 2018.07.09
  • 디지털 시스템 설계 및 실습 n비트 가감산기 설계 verilog
    C_in = 0;#50 a = 4; b = 5; C_in = 1;endendmodule5. ... tb_BCD;reg [3:0] a;reg [3:0] b;reg C_in;wire [3:0] sum;wire C_out;BCD tb(.a(a), .b(b), .C_in(C_in), ... 실습과정 & 실습화면BCD.v와 tb_BCD.v를 작성한 후 컴파일 해준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 결핵의 진단 호흡기내과 발표자료
    액체 배지가 좀더 빠른 검사 가능 6) TB PCR - 결핵균에만 특이하게 존재하는 DNA를 증폭결핵의 진단 6) TB PCR * Xpert MTB/RIF - 자동화된 rtPCR검사 ... 환자 적용점결핵의 진단 가장 기본이 되는 3가지 검사법 = 흉부 X선 / 객담 항산균 도말 / 객담 항산균 배양 1) 피부반응 검사 = PPD test = TST 0.1mL PPD 5- ... 의심시 과거사진과 비교, 객담검사를 통해 확진한다 .결핵의 진단 4) HRCT Endobronchial spread 관찰가능 Tree in bud pattern 5) 객담검사 아침
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.25
  • 고분자물질의 가수분해(미생물의 생화학적 성질 시험)레포트
    TB 1.5ml을 서서히 조금씩 첨가한 뒤 가압습식멸균을 하고 평판배지를 제조했다.3. 배지를 구획하여 미생물을 짧게 그어 콜로니가 클 때 까지 배양했다.4. ... 결과 및 고찰[4] TB 가수분해 결과이 사진은 TB를 넣은 배지 위에 균을 배양시킨 것이다. ... (물로 안 씻어내도 그냥 균체 확인 가능함)[4] TB(지방) 가수분해1.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.12.01
  • 디지털 시스템 설계 및 실습 인코더 설계 verilog
    8'h40 : X = 3'h6;8'h80 : X = 3'h7;endcaseendendendmodule2) tb_Encoder.v`timescale 1ns/10psmodule tb_Encoder ... )8'h01 : X = 3'h0;8'h02: X = 3'h1;8'h04 : X = 3'h2;8'h08 : X = 3'h3;8'h10 : X = 3'h4;8'h20 : X = 3'h5; ... h02;#30;d= 8'h04;#30;d= 8'h08;#30;d= 8'h10;#30;d= 8'h20;#30;d= 8'h40;#30;d= 8'h80;#30;endendmodule5.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 각 질환 별 Chest X-ray(흉부 x선 검사) 결과 판독
    www.svuhradiology.ie/case-study/adult-respiratory-distress-syndrome-ards-chest-x-ray/전문응급구조학과교수협의회, 내과전문응급처치학(5판 ... 증상): general weakness(쇠약감), anorexia(신경성식욕부진증), weight loss(체중 감소), fever(발열), sweating(발한)Pulmonary TB ... (폐결핵): cough(기침), sputum(가래), hemoptysis(객혈)Renal TB(신장결핵): hematuria(혈뇨), dysuria(배뇨곤란)ReferenceThoracic
    리포트 | 5페이지 | 1,500원 | 등록일 2024.03.15 | 수정일 2024.03.20
  • 디지털 시스템 설계 및 실습 전감산기 설계
    #100;x = 0; y = 1; cin = 1; #100;x = 1; y = 0; cin = 1; #100;x = 1; y = 1; cin = 1; #100;endendmodule5. ... `timescale 1ns/10psmodule tb_MyFulladder;reg x,y,cin;wire D,B;MyFulladder tb(x,y,cin,D,B);initialbegin ... $dumpfile("test_MyFulladder_out.vcd");$dumpvars(-1,tb);$monitor("%b", D);$monitor("%b", B);endinitialbeginx
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • [호흡기계] TB, Lung Cancer 병태생리, 간호
    (1) TB(Tuberculosis)1. ... 반드시 3회 검사5. ... 정의결핵균(Mycobacterium tuberculosis)에 의한 만성감염증으로 활동성 결핵 환자가 기침, 콧물, 대화, 가래를 뱉을 때에 발생하는 지름 0.5에서 5 μm의 미세한
    리포트 | 3페이지 | 1,000원 | 등록일 2021.05.26
  • 디지털 시스템 설계 및 실습 디멀티플렉서 설계 verilog
    monitor("%b", y3);endinitial begini = 1;S = 0; #50;S = 1; #50;S = 2; #50;S = 3; #50$finish;endendmodule5. ... `timescale 1ns/10psmodule tb_DMux;reg i;reg [1:0] S;wire y0;wire y1;wire y2;wire y3;DMux tb(.i(i), .S ... (S), .y0(y0), .y1(y1), .y2(y2), .y3(y3));initialbegin$dumpfile("test_DMux_out.vcd");$dumpvars(-1,tb);
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • SoC 보고서 - 2.비동기통신(UART)
    소스코드 및 코드 설명 -------------------------- p.10A. uart_txB. uart_rxC. tb_uart3. ... 실습보드 적용 결과 ----------------------------- p.32A. uart_txB. uart_rxC. uart_echo back5. ... 시뮬레이션 결과 및 설명 ------------------------ p.25A. tb_uart 시뮬레이션B. uart_tx 시뮬레이션C. uart_rx 시뮬레이션D. data_latch
    리포트 | 40페이지 | 2,500원 | 등록일 2021.09.23
  • 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    clk = ~clk;initialbeginclk = 1'b0;bcd = 0;#50 bcd = 1;#50 bcd = 2;#50 bcd = 3;#50 bcd = 4;#50 bcd = 5; ... #50 bcd = 50;#50 bcd = 11;#50 bcd = 12;#50 bcd = 13;#50 bcd = 14;#50 bcd = 15;#40 $finish;endendmodule5. ... ;wire fndd;wire fnde;wire fndf;wire fndg;fnd tb(.bcd(bcd), .clk(clk), .fnd_data(fnd_data), .fndb(fndb
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 노인간호학 - 호흡기계
    .-5년 생존율은 70-90%이다.3.폐렴1) 정의: 폐실질의 염증에 의해 폐포에 수분이 축적되는 질환으로,(폐포에 수분축적하여 생긴 폐조직의 염증).병원 및 요양시설에서의 노인환자의 ... (TB특이증상: 초저녁 오한과 발열) 그밖에 피로감, 체중감소, 허약감이 있다.호흡기 증상은 기침, 점액성, 화농성 객담, 객혈등이 있다.사정시 야간발한, 화농성 객담 생산 등의 호흡기 ... Nebulizer=스테로이드, 벤톨린=기관지확장약)· 노인에서 폐렴을 예방하기 위해서는 예방접종이 필요하다. 65세 이상 노인은 매년 폐렴구균 백신을반드시 접종하도록 한다.4.폐결핵(TB
    시험자료 | 6페이지 | 1,500원 | 등록일 2022.01.25
  • 결핵 문헌고찰
    결핵(Tuberculosis)학교병동교수님학번이름날짜Ⅰ.문헌고찰1.결핵(Tuberculosis: TB)(1)정의결핵은 인류 역사상 가장 많은 생명을 앗아간 전염병으로 기원전 7천년경 ... 체중이 감소하고 미열이 있거나 잠잘 때 식은땀을 흘리기도 한다.(5)진단★ 투베르쿨린 피부반응 검사? ... 석기 시대의 화석에서 결추결핵의 흔적이 발견되었으며 기원전 5천 년경 고대 이집트와 페르시아의 미라에서도 폐와 림프선에 결핵의 흔적이 발견되었다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.09.16
  • 선물옵션 레포트
    (5TB)-만기일을 기준으로 표면금리 5%, 6개월 단위 이자지급방식의 5년만기 국고채를 대상으로 거래하는 계약-5년물 국고채의 발행물량 확대로 5년물 국고채의 거래가 활발해 질 것으로 ... 이상 변동이 1분간 지속될 경우) 프로그램 매매 호가가 5분간 효력이 정지된 후 매매거래에 다시 참여하는 제도 ... , 5-10년) 스프레드거래 및 10년물 금리스왑과 국채선물간 연계거래 등 시장에서 다양한 투자전략이 가능1)주식선물-주식선물은 주식시장에 상장되어 있고 유통주식수가 1,000만주
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.12
  • 결핵 문헌고찰, 케이스스터티, 간호학과
    문헌고찰1) 정의2) 결핵(Tuberculosis, TB)이란? ... 형태는 길이 1.2~4.0㎛, 폭 0.3~0.5㎛ 정도 되는 막대 모양의 균이다. ... 또한 객담검사에서 양성이 확인되지 않았지만 의사의 소견에 따라 결핵으로 확인된‘ 의사결핵’도 치료를 받아야 한다.2) 결핵(Tuberculosis, TB)이란?
    리포트 | 12페이지 | 1,000원 | 등록일 2024.05.31
  • 병태생리학-호흡기계질환 [감염성 질환]
    피부 반응 검사 , 병원균을 배양 - 치료 항진균제로 Amphotericin B( Fungizine ) 하기도 감염 (Lower Respiratory Tract Infection)5) ... Brochiolitis , RSV Infection) 폐렴 중증급성호흡증후군 (Severe Acute Respiratory Syndrome, SARS) 결핵 ( Tuberculosis,TB ... , 감염 즉각적인 확인 어려움 , 발병예방위해 지속적인 감시 필요 하기도 감염 (Lower Respiratory Tract Infection)3) 결핵 ( Tuberculosis,TB
    리포트 | 35페이지 | 3,000원 | 등록일 2020.06.18
  • SoC 보고서 - 1.동기통신(PS2)
    소스코드 및 코드 설명 -------------------------- p.6A) ps2_keyboardB) ps2_receiverC) tb_ps2 (test bench)3. ... 부적합하다.PS2PS2 핀Pin 1 : Data전송에 쓰이는 선이다.Pin 2 : 사용하지 않음.Pin 3 : GND 선이다.Pin 4 : 전원을 공급해주는 Vcc선이다.Pin 5
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 컴퓨터의이해1)다음 문제에 대하여 주요 내용을 번호를 붙여서 4가지 이상 설명하고 관련된 그림이나 사진을 설명문 본문에 한 장씩만 덧붙인다(1번 과제 그림 총 3장). 단, 1번 과제 전체분량은 A4 용지 1페이지 이상 3페이지 이내이다. 가상현실, 증강현실, 메타버스 등의 응용에 사용하기 위한 입출력 장치를 한 가지 조사 하여 다음 사
    5.9Tflops였으며, Gai 2차는 IBM Power 6 5GHz CPU를 탑재한 노드 24개로 구성된 IBMp6으로, CPU 1,536개, 주 메모리 용량 9.2TB, 스토리지 ... Gai 1차는 IBM Power 5+ 2.3GHz CPU를 탑재한 노드 10개로 구성된 p595으로 CPU 640개, 주 메모리 용량 2.6TB, 스토리지 용량 63TB로 이론성능치는 ... 용량 336TB로 이론성능치는 30.7Tflops였다.2018년에 도입된 국가슈퍼컴퓨터 5호상도의 수많은 카메라가 갖춰진 특수한 스튜디오에서 대상의 움직임을 360도로 캡처한 입체적인
    방송통신대 | 20페이지 | 3,900원 | 등록일 2023.03.13 | 수정일 2023.04.13
  • VLSI설계및실험Practice1
    실험제목Verilog simulation tutorial1. 5-bit Ripple Carry Counter2. 5-bit Adder based Counter실험결과1. 5-bit ... Flip-Flop과 Inverter를 이용하여 T Flip-Flop을 만들고T Flip-Flop의 Q를 각 Clock에 연결하여 Ripple Carry Counter를 만들었다.2. 5- ... Design Compiler를 이용한 각 Counter의 Block Diagram이다.대략적인 그림을 살펴보면 Adder based Counter가 크기가 더 큰 것을 유추해 볼 수 있다.5.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.29 | 수정일 2021.10.27
  • 성동문화재단(기) 자기소개서 작성성공패턴 면접기출문제 입사예상문제 논술주제 인성검사 공기관 시사상식문제 적성검사 직무수행계획서견본
    17) 1 tb 저장용량에 아래 한글 문서는 몇장 정도 들어가나요?18) 음성으로 녹음할 때 1tb는 몇분 정도 음성저장이 가능한가요? ... 5. 성동문화재단(기)에 대한 면접문제1) 성동구만의 문화의 특성을 설명해 보세요.2) 성동구에 대해서 특별한 감정이 있는 부분이 있나요? ... 5) 행궁의 [행궁]이라는 어휘는 어떤 의미가 있는 어휘인가요?6) 성동구와 자매결연을 맺은 지역이나 국가중 아는 곳이 있나요?
    자기소개서 | 160페이지 | 9,900원 | 등록일 2022.01.11
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대