• 통큰쿠폰이벤트-통합
  • 통합검색(14,964)
  • 리포트(13,674)
  • 시험자료(829)
  • 방송통신대(217)
  • 자기소개서(116)
  • 논문(76)
  • 서식(31)
  • ppt테마(11)
  • 노하우(7)
  • 이력서(2)
  • 전문자료(1)

"6비트" 검색결과 1-20 / 14,964건

  • 디지털공학 6bit crash
    리포트 | 1페이지 | 2,500원 | 등록일 2021.05.08 | 수정일 2023.03.20
  • 전자계산기 구조 (1.f(a, b, c) m(2, 4, 6, 7)의 진리표를 작성하고, A, B 그리고 B, C를 각각 선택선으로 했을 때, 4 x 1 멀티플렉서(Multiplexer) 블록도를 설계하여 도시하시오.2.4K ROM 1개와 1K RAM 사용하여 8비트 마이크로컴퓨터를 설계하여 그림을 그리고 반드시 각각 Ram 칩 번호를 다르게 설정하고,)
    = A'BC' + ABC' = BC'(A' + A) = BC'(4 , 6) = ABC' + AB'C' = AC'(B + B') = AC'(6 , 7) = ABC' + ABC = AB ... 했을 때 4 x 1 멀티 플렉서 블록도1) 선택선 A, B2) 선택선 B, C2. 2번 문제(5주차 2차시 - 메모리 모듈, 134p)4K ROM 1개와 1K RAM 사용하여 8비트 ... 참고문헌1) 김창완 외 2명, 2021-09-30, 「컴퓨터구조」 복두 출판사, 2020 출판.2) 허준, 2021-09-30, diagrams.net, (Mux, 8비트 마이크로컴퓨터
    리포트 | 9페이지 | 10,000원 | 등록일 2021.10.18
  • [Ayeun] 마이크로프로세서응용 6주차 예비보고서 마프(ATmega128의 8Bit Timer&Counter)
    마이크로프로세서응용 예비보고서제목6주차 예비보고서학과전자공학과학번성명제출일2018. 11. 07확인ATmega128의 8Bit Timer&Counter에 대한 이해1. ... 0/2의 출력비교 인터럽트 허가- 비트 0,6 : TOIE0/TOIE버플로우 인터럽트 허가5. ... PWM + 16비트 PWM)신호변조기 기능 : 변조기기능 ≫ 8비트 PWM 신호와 16비트 PWM 신호를 AND또는OR 논리연산으로 혼합하는 기능#타이머/카운터 0,2 특징(8Bit
    리포트 | 16페이지 | 1,000원 | 등록일 2018.12.22
  • vhdl을 이용한 6비트 업다운 카운터
    ;ARCHITECTURE a_updown_6bit OF updown_6bit iS SIGNAL TMP : std_logic_vector(5 downto 0); BEGIN ... ieee.std_logic_1164.ALL; USE ieee.std_logic_signed.ALL; use ieee.std_logic_arith.all;ENTITY updown_6bit ... PORT (clk, reset, up: IN std_logic; CountOut : OUT std_logic_vector(5 downto 0));END updown_6bit
    리포트 | 1페이지 | 1,000원 | 등록일 2010.04.07
  • [Ayeun] 마이크로프로세서응용 6,7주차 결과보고서 마프(ATmega128,8bit timer,counter,스탑워치과제 코드)
    마이크로프로세서응용 결과보고서제목6주차 결과보고서 + 7주차 결과보고서 = 부록으로 포함학과전자공학과학번성명제출일2018. 11. 21확인1. 8Bit Timer/Counter 관련 ... 0/2의 출력비교 인터럽트 허가- 비트 0,6 : TOIE0/TOIE2타이머/카운터 0/2 오버플로우 인터럽트 허가5. ... 타이머/카운터 제어 레지스터0WGM의 3과6비트를 이용하여 Normal모드로 설정한뒤CS의 0 1 2비트를 이용하여 분주비를 설정해서 1초의 시간을 설정한다.이때 f=16MHz/N*
    리포트 | 19페이지 | 1,000원 | 등록일 2018.12.22
  • [공학]실험 6. 4비트 산술논리회로와 시뮬레이션
    실험 6. 4비트 산술논리회로와 시뮬레이션예비 보고서에서 실험 방법 1의 내용 중, 1adder 생성시에 XOR2 gate를 XNOR2 gate로 잘못 사용해서 구현 하였다. ... BXOR111xF = A보수이 회로는 S2=0 일 때, S1, S0, Cin의 값에 따라 4비트 산술연산회로로써 작동하고, S2=1 일 때는 4비트 논리연산회로로써 작동한다. 4비트 ... 결과처럼 4비트 범위 내의 계산값들은 Cout 없이 알맞은 결과가 나왔으며, 위의 12+7=19와 같이 4비트의 범위를 넘어서는 값은 Cout 값이 나와줌으로써 올바른 결과값을 갖는다
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.20
  • 실험 6. 4-bit 산술논리회로와 시뮬레이션
    실험 6. 4-bit 산술논리회로와 시뮬레이션목적1. ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.2. MyCAD의 사용법을 익힌다.3. ... 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(a)회로도 (b)심볼8. ... (a)회로도(b)심볼(교재와는 심볼 생성시 소자들의 위치가 달라서 회로도의 구성 모습이 다르게 보일 수도 있다.)6.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.12.20
  • 결과보고서 // 5.멀티플렉서, 인코더 및 디코더 6.2진4비트 가산기
    실험1,실험2에서는 MUX게이트를 사용하지 않고 직접 MUX기능을 구현하였다.MUX는 Select신호의 입력에 따라 여러개의 입력중 하나의 값을 출력하는 것이다.2:1MUX에서는 Select 신호가 0일때와 1일때 각각 A나 B의 값이 나오는것을 확인하였고 마찬가지로 ..
    리포트 | 8페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • [C언어]전자계산 Chapter 6, 7 - 연산자 기본 / 비트와 여러 연산자
    \n", a);}return 0;} - 비트와 여러 연산자4. 다음 프로그램을 작성하시오.A. ... 전자계산(1) 연습문제 풀이- Chapter 6, 7 - - 연산자 기본1. 다음 프로그램 소스에서의 에러를 찾아보고 수정하시오.A.
    리포트 | 4페이지 | 1,000원 | 등록일 2006.04.15
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    주의 사항6. 실험 과정 및 예상하는 이론적인 실험 결과7. 참고 문헌1. ... 않도록 한다.- 칩들이 가열되어 있을 가능성이 크니 주의해서 다룬다.- 입력값과 결과값에 꽂을 점퍼선들을 혼동하지 않도록 주의한다.- VCC와 GND가 연결이 잘 되어있는지 확인한다.6. ... 실험 기기 및 부품8-bit Serial-in Parallel-out Shift Register 74164, D Flip-flop 7474, NOT 74045.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    또한 4비트 기능선택 입력과 1비트 모드 선택 단자(M)가 있으며,각 비트의 조합으로 원하는 산술 및 논리연산을 수행할 수 있다. 74X181의 기능을 표 6-3에 나타내었다.3)74181ALU의 ... 각각의 경우에 대한 등가 기호와 기능을 살펴보면 각각 그림 6-5와 표 6-3과 같다. ... (A,B) 입력과 1개의 4비트 출력(F)을 가지고 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 디지털 실험 7장(가산기,감산기) 결과보고서
    실험 6장 비교기1. ... 이번 실험의 경우, A(1001)과 B(1001)을 더할 경우, 4비트 표현을 벗어나게 된다.Binary to BCD converterBinary number를 왼쪽으로 1bit씩 ... 이동시킨다.왼쪽으로 이동되었을 때 값이 5이상이면 3을 더해준다.왼쪽으로 1비트씩 이동시킨다.Binary가 8bit로 이루어져 있다면 8번 이동해야 한다.Excess-3코드0011을
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • [시스템프로그래밍]8086 인텔 프로세서에서 사용되는 레지스터에는 어떠한 것들이 있으며, 각각은 어떤 역할을 하는지 정리해 보세요.
    (빌드 2004)-그래픽카드 드라이버 : 456.16_desktop_win10-dch_64bit_international프로세서의 성능 외에 최근에는 빌드 2004, 윈도우10 64비트에서도 ... 프로세서 코어는 6코어 / 12스레드를 스펙을 지녔으며14nm 공정으로 만들어졌다. ... 있으며, 각각은 어떤 역할을 하는지 정리해 보세요. (3주차 2차시)Ⅰ서론인텔 8086은 8086[1](iAPX 86)[2]은 인텔사에서 1978년에 제작한 개인용 컴퓨터를 위한 16비트
    리포트 | 9페이지 | 8,000원 | 등록일 2021.05.07
  • 컴퓨터활용능력 1급 필기 합격 자료(3일 합격 가능)
    , Digit 4비트) 6비트 = 2의 6승 = 64가지 / 대소문자 구별 XASCII코드(Zone 3비트, Digit 4비트) 7비트 = 128가지 / 대소문자 구별O / 일반PC ... 사용가능도 : 증가 (얼마나 빠르게) / 신뢰도 : 증가 (정확도)바로가기 키F1 – 도움말 / F2 – 이름바꾸기 / F3 – 탐색기에서 검색 / F4 – 탐색기 주소 표시줄 목록 /F6 ... , 데이터 통신용 코드EBCDIC (Zone 4비트, Digit 4비트) 8비트 = 256가지 / 확장된 BCD코드, 특수문자O / 대형 컴퓨터서 사용
    시험자료 | 9페이지 | 5,000원 | 등록일 2020.11.12 | 수정일 2024.04.16
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    실험 결과3.1. 4-bit Parallel Data Transfer아래는 위의 과정으로 작성한 4비트 병렬 데이터 전송 회로의 소스코드(.v)와 버튼 및 LED 맵핑(.ucf), ... Serial Input Parallel Output(SIPO) (05)2.6. Linear Feedback Shift Register (06)Ⅱ. 본론 (06)1. ... 실험 방법 (07)2.1. 4-bit Parallel Data Transfer (07)2.2. 4-bit SIPO (14)2.3.
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 논리회로실험 A+결과보고서 7 -Shift register
    실험 과정 및 결과실험 1) 6-bit Shift Right Register사진1~사진8은 클럭을 인가한 후 매 1초마다의 사진이다. (1Hz)⇒사진에서 볼 수 있듯이 데이터가 순환되지 ... 위의 회로도와 같이 회로를 구성한다.(1개의 2-input NAND gate를 1개의 74HC00칩(2-input NAND gate)을 사용해서 표현하고, 6개의 J-K Flip-Flop을
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.09
  • 비트망고의 자기소개서 작성 성공패턴과 면접기출문제 입사시험경향 인성검사 지원동기작성요령
    6) ㈜비트망고의 주요 히트 게임의 이름을 몇 개 말해 보세요.7) ㈜비트망고의 주 고객(국가)은 어떤 기업들을 말하나요?8) ㈜비트망고의 비전에서 무엇을 느꼈나요? ... 1) ㈜비트망고의 특성이 있는 홈페이지의 내용은 어떤 것들이 있나요?2) ㈜비트망고의 게임 사업 부문은 어떻게 이루어지고 있나요? ... 4) ㈜비트망고라는 기업을 한마디로 정의하면 어떻게 표현이 되나요? 영어로 말해 보세요. 5) ㈜비트망고가 출시하여 크게 성공을 거둔 게임들은 어떤 것들이 있나요?
    자기소개서 | 509페이지 | 9,900원 | 등록일 2021.06.22
  • 각종 관리소 관리사무소 화일 명판
    (좌)열쇠2018년B3전기실 내 비트(좌)열쇠B3전기실 내 비트(좌)열쇠2018년2018년2018년B3전기실 내 비트(우)열쇠2018년2018년B3전기실 내 비트(우)열쇠B3전기실 ... 6단지년도2013~제목각종서식철업체명5?6단지년도2013제목지출결의서철업체명5?6단지년도2014제목지출결의서업체명5?6단지년도2014제목교통비대장업체명5? ... 6단지년도2015~제목경비원사직원업체명5?6단지년도2015~제목골프락카등록대장업체명5?6단지년도2015~제목주민운동시설가입현황인계서업체명5?
    서식 | 18페이지 | 2,000원 | 등록일 2023.07.31
  • 아주대학교 논리회로실험 / 10번 실험 D/A, A/D Converter 예비보고서
    CLK, 2,3,6,7 핀은 ? ... 일반적으로 10bit 이상의 경우 플래시 타입은 사용되지 않는다. 후자는 DAC에 비교기를 더한 것이다. 궤환 원리를 통해 입력신호와 출력신호를 비교하여 출력을 나타낸다. ... 전자의 경우 속도는 빠르지만 동기성으로 인해, n bit 변환에 2n-1개의 비교기가 필요하다는 단점을 갖는다.표본화, 양자화, 부호화표본화아날로그 신호를 특정 주기에 맞춰 샘플링하는
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 8-bit Register&8-bit Shift Register verilog code/플립플롭을 이용한
    따라서 q값을 wire로 선언해주었다. 8-bit Shift Register는 비트연산자를 이용해 dir이 ‘1’이면 shift right, ‘0’이면 shift left하도록 구현했다 ... D Flip-Flop 8개를 호출해 입력 d와 출력 q의 각 비트를 Flip-Flop에 각각 따로 연결해주었다. ... -bit Register8-bit Shift Register분석 및 고찰8-bit Register 와 8-bit Shift Register를 설계해 보았다. 8-bit Register는
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대