• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(313)
  • 리포트(305)
  • 시험자료(3)
  • 논문(2)
  • 방송통신대(2)
  • 자기소개서(1)

"7-세그먼트 디코더" 검색결과 1-20 / 313건

  • 7-세그먼트 표시기와 디코더 결과보고서A+
    Experiment-Report(10장 7-세그먼트 표시기와 디코더)1. ... 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다.2. ... 표는 BCD-to-7 세그먼트 디코더에서 입력에 대한 출력값을 나타낸 것이다.3.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    7-세그먼트 디코더 설계1. 실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... . 7-세그먼트 FND 디코더의 블록도4. 7-세그먼트 FND 디코더의 Verilog 코드1) fnd.vmodule fnd(clk,bcd,fnd_data, fnda, fndb, fndc ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩 해야 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 디시설 - 7-세그먼트 디코더 설계
    제목7-세그먼트 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16지수를 한자리 7-세그먼트에 출력하며 스위칭 인코더와 7-세그먼트 디코더의 동작특성을 알고, FPGA kit와 ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야 한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 실험5 7-세그먼트 디코더-예비레포트
    BCD-to-7 세그먼트 디코더 기능을 수행하는 TTL 칩으로서는 7446, 7447 (애노드 공통형)과7448, 7449 (캐소드 공통형)이 있다.위 그림을 보면 7-세그먼트의 각 ... 표시되는 지를 나타낸 그림이다.BCD/7-세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 해당 숫자 (0~9)가 표시되도록 7세그먼트의 입력 단자 ... 디스플레이 하는지, 또한 우선순위대로 디스플레이 하는지를 점검하라.실험 예비 문제아래 식과 같이 7-세그먼트의 각 요소를 On시키는 부울 함수를 모두 나타내라BCD/7-세그먼트 디코더
    리포트 | 7페이지 | 1,000원 | 등록일 2017.03.07
  • 7-세그먼트 표시기와 디코더 실험 결과보고서
    세그먼트 디코더칩과 캐소드 공통형 7-세그먼트를 사용하여7-세그먼트 표시기의 동작원리를 이해하고 BCD to 7-세그먼트 디코더 활용법을 익히는 실험이었다. ... 10장 7-세그먼트 표시기와 디코더결과 보고서1. ... 위 실험을 통하여 7-세그먼트 표시기의 동작원리를 이해하고 BCD to 7-세그먼트 디코더 활용법을 익힐 수 있었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.06.30
  • [VHDL] 7-세그먼트 디코더 설계
    본 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16진수를 7세그먼트에 출력하기 위한 디코더를설계한다.∙ 실습 내용[그림 3-23]은 DigComV32에서 7-세그먼트의 ... ∙ 실습 목적하나의 7-세그먼트는 한 자리 16진수를 출력할 수 있다. ... 그러나 FPGA에서 한 자리 16진수는4비트에 저장되며, 7세그먼트에 출력하기 위해서는 디코딩을 해야 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.16
  • BCD-7세그먼트 디코더 논리회로 설계보고서
    설계 제목 - BCD-7세그먼트 디코더 논리회로 ? ... 설계 목표-7세그먼트에 표시기라는 소자 및 BCD 코드에 대한 이해- 이론을 바탕으로 BCD-7세그먼트 논리회로를 구성배경이론7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 ... 구조7세그먼트 표시기는 애노드 공통형(common-anode type)과 캐소드 공통형(common-cathode type)의 2가지가 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • BCD-7세그먼트 디코더 논리회로 설계결과보고서
    위에 나타낸 진리표에서 확인할 수 있고, 그렇게 0에서부터 9까지 세그먼트상에 나타낼 수 있다. ... 결과사진abcdefg위에 나타낸 그림처럼 세그먼트 상에 입력을 주어서 숫자를 나타내게 하는 실험이었다. ... 세그먼트에 0이 표시되게 하기 위해서는 a부터 f까지의 값이 1이 되어야 하고 g만 0이 되어야 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • [논리회로 및 실험] 3비트 입력 7-세그먼트 출력 디코더 실험 계획서
    3비트 입력 7-세그먼트 출력 디코더 실험 계획서실험 계획BCD-7-세그먼트의 구성에 대해 알아보고, 3비트의 입력을 받아 원하는 출력 값을 가지는 디코더의 구현을 통해 이해해본다. ... 세그먼트의 출력을 얻는 디코더를 구현하시오. ( 7-세그먼트는 애노드 공통(입력이 0일 때 다이오드의 상태 ON)이다.)실험에 쓰일 게이트:NOT 게이트: 입력 1개와 출력 1개가 ... 또한 2입력 - 1출력인 IC 칩에 맞춰 회로도를 2개짜리로 변경하여 작성해본다.실험에 사용될 예상 기기 및 칩, 기타 부품들Logic Lab Unit Model 9200저항7 세그먼트
    리포트 | 6페이지 | 1,500원 | 등록일 2016.07.13
  • 7-세그먼트 / 디코더 회로 설계
    설계실습 11. 7-segment / Decoder 회로 설계1. 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. ... segment을 이용한 7-segment 구동 회로를 설계하라. ... 실험준비물직류전원장치 1대멀티미터 또는 오실로스코프 1대Bread board 1대저항 (330Ω) 8개Decoder(74LS47) 1개Hex Inverter (74LS04) 8개7-
    리포트 | 6페이지 | 1,500원 | 등록일 2009.11.30
  • [디지털회로실험] (실험5) 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 / (실험6) 7-세그먼트 디코더
    시뮬레이션 결과실험 5-(3) 74147을 이용하여 10개의 입력을 BCD코드로 변환하는 엔코더? 회로도? 시뮬레이션 결과실험 6-(1) 7-세그먼트 디코더 회로의 동작 확인? ... 실험 5-(1) 4 to 1 멀티플렉서 동작 확인? 회로도? 시뮬레이션 결과실험 5-(2) 74133 TTL(4 to 1 멀티플렉서) 동작 확인? 회로도?
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 7-세그먼트 LED 디코더 표시회로
    REPORT7세그먼트LED디코더와 표시회로결과보고서▣실험제목- 7-세그먼트 LED 디코더와 표시회로▣실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다 ... 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.4) 7-세그먼트의 표시상태애노드 공통형 BCD-7 ... 숫자 키를 누르면 인코더에서 해당 코드가 발생하는데, 이 코드는 다시 표시소자 디코더를 거쳐 숫자 표시기를 구동한다.2) 7-세그먼트 LED 표시소자그림 14-2(a)는 7-세그먼터
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터
    디지털회로개론실험 예비보고서HDL을 이용한 설계7-세그먼트 디코더시프트 레지스터0. ... 이론. 7-세그먼트 디코더0) VHDL로 표현library Ieee;use ieee.std_logic_1164.all;entity seg_decoder isport(cnt_in : ... 실험목적7-세그먼트와 시프트 레지스터를 HDL을 이용하여 설계해봄으로써, Verilog HDL을 사용한 회로 설계 방법과 Quartus Ⅱ의 사용법을 익힌다.1.
    리포트 | 3페이지 | 1,500원 | 등록일 2010.06.18
  • 7-세그먼트 디코더 디지털회로실험 예비보고서
    디지털회로실험 사전보고서-Lesson 6 7-세그먼트 디코더1. 7-세그먼트 디코더 회로 동작 확인7-세그먼트 디코더 datasheet- 7-세그먼트는 0부터 9까지의 숫자 또는 간단한 ... 진리표교제에 주어진 7-세그먼트 디코더 회로동작을 확인하기 위해 위 그림과 같은 회로를 구성하였다. ... 디코더 회로를 가지고 10가지의 서로다른 숫자모양을 출력하는 7-세그먼트의 출력을 확인해보는 실험이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.23
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    각각의 세그먼트는 전류가 흐를 때 빛을 방출하는 소자로 구성되어 있는데, LED가 가장 일반적으로 사용되는 소자이다.- 7-세그먼트 -3) BCD 7-세그먼트 디코더/드라이버BCD( ... Binary Code Decimal) 7-세그먼트 디코더/드라이버는 4비트 BCD 입력을 받은 후 적절한 세그먼트를 통해 전류를 흘려보냄으로 써 해당 10진수를 표시한다.- 실험 과정1 ... 제 출 일 : 2014. 4. 8● 실험 6 LED와 7-세그먼트(LED & 7-Segment)- 실험의 주요 주제1. 7-세그먼트 LED의 특성을 실험을 통해 확인한다.2. 2진화
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 디지털회로 [ 7-세그먼트디코더, 쉬프트 레지스터,업-다운카운터, 각종 카운터 _ 사전 ]
    이론1. 7-세그먼트 디코더? ... 8-9. 7-세그먼트디코더, 쉬프트 레지스터업-다운카운터, 각종 카운터제출일실험조이름-사전 보고서-? ... 7-세그먼트 Verilog HDL 소스 코드-아래는 7-세그먼트 진리표를 Verilog HDL로 표현한 것이다.module Seven_seg (Bcd_in, seg_out);input
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.09
  • [논리회로] BCD 7 - 세그먼트 디코더
    {BCD 7 - 세그먼트 디코더. 목 적. 7-세그먼트의 구조와 동작특성을 익히고, 7-세그먼트 디코더를 PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행한다.. ... `t Care그림 16.2 BCD 7-세그먼트 디코더의 타이밍도{`ABCDabcdefg{`ABCDabcdefg ... {그림 16.1 7-세그먼트의 디스플레이와 10진 디지트 표현표 16.1 7-세그먼트 디스플레이의 진리표{afbgecd{0{1{2{3{4{5{6{7{8{9{10진 디지트BCDABCD출력
    리포트 | 2페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 6장(7-세그먼트디코더) 예비보고서
    목적1) 7-세그먼트의 구조 및 동작 개념을 이해한다.2) 7-세그먼트 구동 디코더의 구조 및 사용 방법을 확인한다.3) 응용 회로를 구성하고 동작을 확인한다.4) 전원 공급기, 오실로슼코프 ... BCD 코드는 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드입니다.BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 ... 디지털 회로 실험(6장 예비보고서)과 목 명 :디지털 회로 실험학 과 :학 번 :이 름 :예 비 보 고 서< 실험 6 : 7-세그먼트 디코더 >1.
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.07
  • [회로이론] BCD to 7-세그먼트 디코더
    결과 & 검토지난 시간의 디코더에 이어서 이번시간에는 7-segment Decoder를 실습 으로 했다. ... 결과로는 7-segment가 나와야하므로 y라는 출력을 6 downto 0의 벡터로 사용하였다. ... Code● If문 사용{● Care문 사용{● Coding 내용 분석BCD to 7 segment Decoder를 if문과 care문을 사용하여 coding을 해 보았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2003.04.10
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 6,7장(7-세그먼트 디코더, 래치, 플립플롭, 시프트레지스터) 결과보고서
    실험 6 : 7-세그먼트 디코더1) 실험(1) 74151 TTL (8-to-1 멀티플렉서)의 동작확인.0123456789< 실험결과 확인 >< 진리표 >이번 실험은 7-세그먼트 디코더를 ... 가지고 7-세그먼트가 출력이 되는지 확인해보는 실험이었다. 7-세그먼트 디코더는 2진 4bit가 입력으로 있고 출력은 16개가 있다. ... 디지털 회로 실험(6, 7장 결과보고서)과 목 명 :디지털 회로 실험학 과 :학 번 :이 름 :결 과 보 고 서< 실험 6 : 7세그먼트 디코더, 실험 7 : 래치, 플립플롭, 시프트레지스터
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:50 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대