• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(791)
  • 리포트(763)
  • 시험자료(18)
  • 논문(4)
  • 방송통신대(3)
  • 자기소개서(2)
  • 서식(1)

"7-세그먼트 표시" 검색결과 1-20 / 791건

  • 7-세그먼트 표시기와 디코더 결과보고서A+
    Experiment-Report(10장 7-세그먼트 표시기와 디코더)1. ... 그리고 CBA가 입력이 되고, Y0~Y7이 출력인데 7-세그먼트표시하기 위해서는 출력값이 7개만 필요하므로 아래 진리표처럼 X(DON’T CARE)로 표시했다. ... 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 7-세그먼트 표시기와 디코더 실험 결과보고서
    10장 7-세그먼트 표시기와 디코더결과 보고서1. ... 세그먼트 디코더칩과 캐소드 공통형 7-세그먼트를 사용하여7-세그먼트 표시기의 동작원리를 이해하고 BCD to 7-세그먼트 디코더 활용법을 익히는 실험이었다. ... 위 실험을 통하여 7-세그먼트 표시기의 동작원리를 이해하고 BCD to 7-세그먼트 디코더 활용법을 익힐 수 있었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.06.30
  • 7-세그먼트 LED 디코더 표시회로
    REPORT7세그먼트LED디코더와 표시회로결과보고서▣실험제목- 7-세그먼트 LED 디코더와 표시회로▣실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다 ... 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.4) 7-세그먼트표시상태애노드 공통형 BCD-7 ... 숫자 키를 누르면 인코더에서 해당 코드가 발생하는데, 이 코드는 다시 표시소자 디코더를 거쳐 숫자 표시기를 구동한다.2) 7-세그먼트 LED 표시소자그림 14-2(a)는 7-세그먼터
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식
    1) 다음은 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식이다.이중에서 대문자 C[0x43]에서 H[0x48]까지의 ASCII값이 들어오면 7-세그먼트표시하는 디코더를 ... => a'b'c'+bcC.L=SIGMA (0,1,3,6,7) => a'b'+bc+abD.L=SIGMA (1,2,3,4,6) => a'c+bc'+ac'E.L=SIGMA (0,1,2,3,4,5,6,7 ... ) => 1F.L=SIGMA (0,1,4,5,6,7) => b'+aG.L=SIGMA (0,1,2,3,4,5,7) => a'+ab'+bcbca*************1bca0001111001111bca000111100111111bca000111100111111bca000111100111111111bca0001111001111111
    리포트 | 2페이지 | 1,000원 | 등록일 2009.12.25
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    같고 이는 7-세그먼트의 입력이 된다. 7개의 출력에 대한 논리함수를 구해보면 다음과 같은 부울함수로 표시된다.BCD -7 세그먼트 디코더는 2진수를 10진수를 변환해 주기 떄문에 ... / 이론BCD to 7-Segment 디코더4비트로 구성된 BCD값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a,b,c~g 신호를 만들어내는 조합회로로서 에니메이션을 이용하여 ... 7개의 세그먼트에 사용되는 디스플레이로 숫자를 표시 할 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 7세그먼트 디코더 실험보고서
    기초이론2.1 7세그먼트 표시기7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 7세그먼트 표시기는 막대모양의 LED 7개를 8자 모양으로 ... -7세그먼트 디코더BCD-to-7세그먼트 디코더는 그림 5.3에 나타낸 것과 같이 4비트로 구성된 BCD 값 을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... ,g ... 다음 그림 5.1은 7-세그먼트의 디스플레이 소자를 나타내었다.7세그먼트 표시기는 애노드 공통형과 캐소드 공통형의 2가지가 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 실험5. 7세그먼트 디코더 예비보고서
    그러나 디코더가 확장될수록 이것의 구현은 게이트로의 입력 수가 매우 많아진다.2.3 7-세그먼트 표시 장치7세그먼트 표시 장치는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 ... ▣ 실험5. 7-세그먼트 디코더1. 실험 목적본 실험을 통해■ BCD/7-세그먼트 디코더 드라이버에 대해 알아본다.2. ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 8주차 예비 - 인코더와 디코더 회로
    이는 인코더 회로는 디코더회로의 반대기능을 지닌 회로라는 것을 의미한다.(3) 7-세그먼트 표시기(Seven Segment indicator) : 7-세그먼트는 디지털 신호에 의해 ... 발광 하는 LED가 7개의 세그먼트에 사용되는 디스플레이로 숫자를 표시할 수 있다. ... 또한 이는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력은 밑의 진리표와 같고 이는 7-세그먼트의 입력이 된다. 7개의
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 인코더와 디코더 회로 예비
    7개의 출력에 대한 논리함수를 구해보면 다음과 같은 부울함수로 표시된다.BCD-7 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 회로를 설계하는 입장에서는 디코더라 칭할 ... 세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트(선분)에 사용되는 디스플레이로 숫자를 표시 할 수 있다. ... Encoder은 그림을 보면 알 수 있듯이 decoder 회로의 반대 기능을 지닌 회로로서 2n개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.7-세그먼트 표시
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
  • 전기및디지털회로실험 실험8 결과보고서
    실험 개요숫자표시기는 보통 7-세그먼트 표시기라 불린다. 이는 일상적으로 디지털 방식으로 십진수 숫자를 표시하는 데에 널리 사용되고 있다. ... , 해당하는 입력신호를 스위치로 입력했을 때 7-세그먼트에 해당하는 숫자가 표시되는 것을 확인할 수 있었다. ... 이렇게 연결함으로써 해당하는 숫자의 스위치를 누르면 7-세그먼트에서 해당하는 숫자를 표시할 수 있었다. 8입력이기 때문에 0부터 7까지만 숫자를 표시할 수 있었다.(4) 실험 6항의
    리포트 | 8페이지 | 1,000원 | 등록일 2024.03.12
  • 전기및디지털회로실험 실험8 예비보고서
    이 숫자표시기 회로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다.이론조사-7 세그먼트 표시기7세그먼트 표시 ... 숫자표시기와 응용2. 실험 개요숫자표시기는 보통 7-세그먼트 표시기라 불린다. 이는 일상적으로 디지털 방식으로 십진수 숫자를 표시하는 데에 널리 사용되고 있다. ... 각 획 별로 필요한 다른 하나의 핀은 장치에 따라 공용 (+)극이나 공용 (-)극으로 배당되어 있기 때문에 소숫점을 포함한 7세그먼트 표시 장치는 16개가 아닌 9개의 핀만으로 구현이
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • 디지털회로실험 LED와 7-세그먼트
    구성하는 실험이다.7-세그먼트는 7개의 획으로 숫자나 문자를 나타내는 표시장치로, 공통 음극형과 공통 양극형이 있는데 주로 공통 양극형이 사용된다.7-세그먼트도 LED를 사용하므로 ... 않았다.조교님께서 직접 확인하시고 나서야 7-세그먼트와 저항을 연결하는 전선이 올바른 위치에 안 꽂혀있고 서로 뒤바뀌어있었기 때문에 제대로 표시되지 않았다는 걸 알게 되었다. ... 과전류가 흐르는 것을 방지하기 위해 저항과 함께 구성해야 한다.저항 7개를 74LS47 칩과 7-세그먼트에 전선으로 연결해야 하는데 초반에, 7-세그먼트의 c, d, e와 저항을 연결한
    리포트 | 4페이지 | 1,500원 | 등록일 2023.10.24
  • 전기및디지털회로실험 실험M3 예비보고서
    실험 개요기존에 수행했던 숫자표시기 회로의 동작을 아두이노를 이용해 되풀이 해보고, 과거 회로와 비교하여 어떤 부분을 프로그램으로 대체해 응용할 수 있는지 학습한다.이론조사- 숫자표시기이전 ... 실험 순서- 숫자표시기 + 아날로그 회로(1) 보드의 적당한 아날로그 입력핀을 선정한 후 0~5V의 전압이 입력되도록 포텐셔미터를 이용한 회로를 구성한다.(2) 또한 추가로 적당한 ... F=5;int G=4; // 7세그먼트와 아두이노간 연결되는 핀 설정void setup(){Serial.begin(9600); // 통신보율 설정pinMode(10, OUTPUT)
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 13주차 - 예비레포트
    사전 조사 항목(1) 7-세그먼트의 종류, 구조 및 원리에 대해 조사하시오.7세그먼트는 내부적으로 숫자나 문자를 표현하기 위한 7개의 LED와 점을 표현하기 위한 1개의 LED로 구성되어 ... 대표적인 소자로 74LS47이 있으며 내부에 논리회로로 구성되어 2진수에 해당하는 입력핀의 신호에 대해 7 segment 디스플레이로 숫자 형태를 출력한다.(3) 7-세그먼트를 구동하기 ... 숫자를 표시하기 위해 A, B, C, D, E, F, G 영역으로 구성되어 LED를 제어하기 위한 7개 단자와 점을 표시하기 위한 DP 단자, 공통 애노드 또는 공통 캐소드 역할을
    리포트 | 19페이지 | 5,000원 | 등록일 2024.08.10
  • 전기및디지털회로실험 최종설계(배터리잔량테스터)
    if ( 각 배터리를 한개씩만 삽입했을 경우 ) Lcd Led bar 7 세그먼트 해당 건전지 종류 표시 , 실제 전압값과 백분율 비율 표시 세그먼트는 0, led bar 는 무점등 ... 배터리 잔량 테스터 표시계 12 #1 #2 #3 #4 상세설계 - 상세설계 블록도 설계 과정 방전 데이터 수집 코드 블록도 회로도 작성 결선 동작확인 및 납땜 결과시연 - 완성품 영상촬영 ... 결과분석 및 고찰 - 목표 달성대비 성능도 - 각 기능 평가결과 마침 - 사용예산 - 설계일정 및 기여도1.
    리포트 | 22페이지 | 2,000원 | 등록일 2024.04.09
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    연결되어 있을 때 : 7-세그먼트표시된 숫자가 자동으로 1씩 감소함? ... 연결되어 있을 때 : 7-세그먼트표시된 숫자가 자동으로 1씩 감소함? ... 이론값 (②-74LS47의 4번, 5번 핀을 VCC와 연결함.)- KEY가 VCC에 연결되어 있을 때 : 7-세그먼트표시된 숫자가 자동으로 1씩 증가함- KEY가 GROUND에
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 ... LED의 배열이라고 생각하면 된다.아래는 7세그먼트의 7개 영역을 이용해서 숫자를 조합한 모습이다.1) common-anode type7세그먼트는 모든 획의 LED에 연결되어 있는 ... 이진수 네 자리가 십진수 한 자리에 바로 대응되기 때문에 변환이 편하나, 쓰이지 않고 버려지는 데이터가 많아 같은 데이터를 저장하더라도 더 많은 데이터가 필요하다.[2]3. 7-segment7세그먼트
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 건국대학교 전기전자기초실험1(전전기실1) 13주차 예비레포트+결과레포트(예레+결레)
    사전 조사 항목(1) 7-세그먼트의 종류, 구조 및 원리에 대해 조사하시오.7-세그먼트는 LED 이용해서 숫자를 표시하는 장치로 7개의 LED를 조합해 숫자 혹은 문자를 출력한다.위 ... 변환시켜 7-세그먼트로 입력하기 위한 변환장치가 필요하다. 7-세그먼트의 숫자를 모두 끄는 단자이다. ... 입력받아 a~g 출력신호 조합을 만들어낸다.(3) 7-세그먼트를 구동하기 위한 기본적인 회로도를 조사하시오.앞서 설명한 7-세그먼트 디코더와 7-세그먼트 조합하면 2진수 입력에 대해
    리포트 | 24페이지 | 4,500원 | 등록일 2024.05.29
  • 실험3광전 소자의 특성 실험
    표시하는 TIL312 7-세그먼트 표시기의 핀 번호할당을 표시하고 있다. ... 그림 3-4(b)에 표시된 회로와 그림 3-4(c)에 표시된 TIL312 회로 모 두 콤몬 애노드형의 7 세그먼트 표시기 이다.그림 3-4(h)에 표시된 회로는 LED의 모든 캐소드 ... (a)0.7V (b)0.3V (c)5V (d)2.0V3-4. 7세그먼트 표시기의 LED 중 7개의 캐소드가 모두 0V에 연결된다면 콤몬 ( ))형이라고 부르고 ( )입력 전압이 LED를
    리포트 | 16페이지 | 1,000원 | 등록일 2023.07.12
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    불을 켜 줌으로써 숫자를 나타낼 수 있음.2.실험 방법- 7 세그먼트의 이용 및 특징대부분의 7세그먼트 표시 장치 발광 다이오드(LED)로 각 획을 표시하지만 음극 방전관이나 진공관 ... 통해 7개의 LED로 숫자를 표시할 수 있습니다.각각 점등되는 LED를 통해 스위치를 활용하여 점등, 소등을 통해 0부터 9까지의 숫자를 표현하는 회로도를 만듭니다.- 7세그먼트란 ... 메카트로닉스 및 실습실습보고서[BCD 스위치의 값을 7-세그먼트에 표기하기]■과목명:메카트로닉스 및 실습■담당교수:■제출일:■학과:■학번:■성명:1.실험 개요- 실험 목적7-segments를
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대