• 통큰쿠폰이벤트-통합
  • 통합검색(2,775)
  • 리포트(2,691)
  • 시험자료(48)
  • 자기소개서(20)
  • 방송통신대(10)
  • 논문(5)
  • 서식(1)

"7-segment 점등" 검색결과 1-20 / 2,775건

  • 마이크로프로세서 응용 및 실험 [LED 및 7-segment 점등]
    ) 이동▷ 2번 스위치 입력이 1번 있을 때 방향 전환◆ LED 및 7-segment 점등▷ 1번 스위치 입력 ( Rising Edge ) 이 1번이 있을 때마다 LED와 7-segment ... 로의 출력선택▷ 2번 스위치 입력이 1번 있을 때 현재 상태에서- LED 출력이면 점등된 1개의 LED 이동 ( 반향 전환은 없으며, 입력이 있을 때 마다 한칸씩 이동 )- 7-segment ... 출력이면 표시 숫자 증가1.2 설계과제의 제한조건▷ 모든 스위치 입력처리는 인터럽트만 사용▷ 입력 : push switch – 2개▷ 출력 : LED – 8개 , 7-segment
    리포트 | 16페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • 7-segment 점등 실험을 통해 timer와 counter의 원리와 회로구성을 이해한다.
    실험 목적7-segment 점등 실험을 통해 timer와 counter의 원리와 회로구성을 이해한다.2. ... segment와 연결한다.⑥ 브레드 보드에 전원을 연결하고 점등되는 LED와 7-segment를 확인한다.5. ... 마다- LED 밝기 조절: 100msec 마다- 7-segment 표시: 1초마다3.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.05.10
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 10차 예비보고서
    일반적인 7-segment decoder는 0~9까지의 10개의 숫자를 나타내기 위해 A~D까지의 4개의 입력 bit과 7개의 segment점등하기 위해 a~g까지의 7개의 출력 ... Segment 구동 회로 설계Decoder 와 7-segment 를 이용한 7-segment 구동 회로를 설계한다.74LS47 Decoder와 7-segment 사이에 330Ω 저항을 ... 점등, 소등된 상태가 아닌 스위치에 따라 원하는 결과값을 도출할 수 있는 상태가 되도록 설계하였다.74LS47 Decoder의 LT, BI/RBO, RBI 단자의 역할은 아래와 같다
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • 아날로그 및 디지털 회로 설계 실습 결과보고서10 7segment/decoder 회로설계
    이 실습에서 사용한 Decoder의 경우, binary입력을 받아 7-segment LED를 점등하기 위해 7개의 출력bit를 가지는 것을 알 수 있었다. ... 아날로그 및 디지털 회로 설계 실습-실습10. 7-segment/Decoder회로설계-10-4 설계실습 내용 및 분석7-segment 특성 확인주어진 7-segment의 Type(Anode ... 그 후, b 핀에 ground를 연결해보니, b 위치에 점등이 된 결과를 위의 사진과 같이 확인하였다.
    리포트 | 10페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • [A+]아날로그및디지털회로설계실습 10장 결과보고서
    위해서는 4개의 입력 bit이 필요하고 7개의 segment점등하기 위해서는 7개의 출력 bit이 필요하다.위의 표를 참고하여 decoder의 a,b,c,d,e,f,g를 A,B, ... 서론7segment LED는 숫자를 표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 있으며 필요한 LED만 선택적으로 점등하여 원하는 숫자를 나타낸다. 7segment는 ... Decoder의 신호에서 7-segment LED사이에 있는 저항의 양단의 전압을 측정하였다.LED가켜졌을 때7-segment 이후 저항 이전 : 3.10V저항 이후 decoder
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.18
  • 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    하는 segment 에만 Low voltage 연결하여 선택적으로 LED 점등디지털 회로의 출력은 대개 2진수로 표현되어 있으므로 이를 10진수 방식인 7-segment LED 로 ... segment점등하기 위해 7개의 출력 bit 가 필요하다.실험에서 사용하는 74LS47 디코더의 핀 구성도와 논리회로도, 진리표, 7-Segment 출력은 다음과 같다.74LS47 ... 는 0~9 까지 10개의 숫자를 나타내기 위해 A~D 까지 4개의 입력 bit 가 필요하며, 7개의 segment점등하기 위해 a~g 까지 7개의 출력 bit 가 필요하다실습에
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • 2023 상반기 조폐공사 자기소개서
    segment를 활용한 주제로 팀 프로젝트를 진행하였는데 이때 저는 온습도를 측정하여 7-segment 소자에 숫자로 표시해 주고 불쾌지수를 계산하여 LED에 색깔별로 표시해 주는 ... 과목에서 배웠던 심화한 반도체 회로에 들어가는 반도체 소자들과 이 소자들을 응용한 심화한 전자 회로에 대해 실험하면서 전자공학도로서의 지식을 쌓았습니다. 3학년 때 실험 프로젝트는 7- ... 회로를 구성할 때마다 생각하는 대로 동작하지 않을 때가 많았지만, 문제점을 발견하여 잘 작동하도록 회로를 수정해 주면서 좋은 점수를 받을 수 있었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.04.28
  • 아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    (A)에서 생성된 Clock신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 사진의 상단에서 확인할 수 있듯이 decoder와 7-segment 사이에도 빠트리지 않고 저항을 연결하여 과전류를 방지하였다.전원을 인가하고 7-segment LED에 표시되는 숫자가 ... 이 때, Decoder와 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    위해 4개의 입력 bit 가 필요하며, 7개의 segment점등하기 위해 7개의 출력 bit 가 필요하다.실험에서 사용하는 MC14511B 디코더의 진리표와 핀 구성도, 7- ... type : 공통단자에 Low voltage 연결, 점등하고자 하는 segment 에만 High voltage 연결하여 선택적으로 LED 점등- Common anode type : ... 를 설계하는 것이 오류를 방지하기에 좋다.실험에서 사용할 7-segment LED 는 숫자를 표시하는 7개의 LED 와 소수점을 나타내는 1개의 LED 로 구성되어 있고, 필요한
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • [A+]중앙대 아날로그및디지털회로설계실습 과제 7-segment / Decoder 회로 설계 (13주차)
    (0), BI/RBO=High(1)이면 다른 입력 핀에 관계 없이 모든 7개의 segment점등(ON)한다. ... 따라서, 7-segment의 3번, 8번핀은 접지에 연결한다.2.7-segment에 큰 크기의 전류가 흐르면 7-segment 소자가 고장나기 때문에 74LS57 Decoder의 출력과 ... 아날로그 및 디지털 회로설계실습13주차 7-segment / Decoder 회로 설계 과제1.7-segment LED의 특성이 Common Cathode type이라면 접지를 공통으로
    리포트 | 1페이지 | 1,000원 | 등록일 2021.10.09
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... 각 획에는 LED가 내장되어 있어 LED의 점등으로 표시를 한다. ... Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    소수를 나타내기 위해서 숫자의 오른쪽 아래에 소수점(h)이 붙는 경우도 있다.- 7 segment의 7개의 획과 하나의 소수점 표시등은 LED가 내장되어 있어 각각 꺼지거나 켜질 수 ... ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가 ... 있으며 이를 통해 아라비아 숫자를 표시할 수 있고 몇몇 개의 알파벳 또한 표현 할 수 있어 16진수까지 표현이 가능하다.16진법 7 segment 표기- 7 segment는 A형과
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 시립대 전전설2 A+ 8주차 예비레포트
    bcd 입력을 받아서 single 7 -segment FND를 제어하는 8-bit 신호(‘점’을 포함) out을 출력하는 모듈 ‘fnd_decoder()’을 디자인하시오. ... 실험 목적Verilog HDL 언어를 사용하여 주변장치(peripherals) 제어를 실험함.주변 장치들인 7-segment, Piezo 등의 controller 설계Behavioral ... (시뮬레이션 및 장비 검증)입력: input [3:0] bcd -> 0000 ~ 1001 (Bus SW로 입력)출력: output [7:0] out -> single 7-segment
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • [기초전자공학] 전기회로의 기초실습2
    .[3-3] 7-segment LED 디스플레이의 점등1. ... 직류전원 공급기의 사용3-1. led다이오드 성질 확인3-2. 멀티 led의 점등3-3. 7segment LED 디스플레이의 점등실험 절차[1-1]. ... 주어진 7-segment LED 디스플레이가 공통 음극형인지, 공통 양극형인지 밝힌다.4.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.09
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... (C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 0, 1, 2, ..., 8, 9, 0, 1, 2, ...의 순서대로 표시되는 지 확인한다.7-segment LED에 ... 이 설계실습을 통하여 배운 점과 느낀 점 그리고 앞으로 개선할 점 등에 대하여 논하시오.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)10. 7-segment Decoder 회로 설계 할인자료
    서론7-segment는 숫자를표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성됨 디코더(Decoder)는 2진부호, BCD부호, 기타 여러 가지 부호들을 부호가 없는 ... 실습 10. 7-segment / Decoder 회로 설계(학생이름, 학번, 학수번호, 실험조의 번호, 실험조원의 이름, 실험날짜, 제출날짜)요약 :7-segment와 Decoder를 ... 이번 실습을 통해 7-segment와 Decoder의 구조를 학습하고 역할에 대해 배울 수 있었다.
    리포트 | 3페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • [A+]중앙대학교 아날로그및디지털회로설계실습 7-segment / Decoder 회로 설계 예비보고서
    숫자를 나타내기 위해서는 4개의 입력 bit이 필요하고 7개의 segment점등하기 위해서는 7개의 출력 bit이 필요하다.10-1. ... 실습목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다. ... 그림과 같이 7-segment LED는 common cathode type과 common anode type이 있다.Common cathode type에서는 공통단자에 Low
    리포트 | 8페이지 | 1,000원 | 등록일 2021.09.02
  • 아날로그 및 디지털회로 설계 실습 stopwatch 설계_결과보고서
    또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.회로도(C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 ... BCD카운터 출력 4bit을 BCD to 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 이 설계실습을 통하여 배운 점과 느낀 점 그리고 앞으로 개선할 점 등에 대하여 논하시오12-4-1 기본적인 클럭 생성 회로 및 카운터 회로- 4번 핀에 Vcc=5V를 인가하고 5번
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.30
  • 랩뷰를 활용한 7세그먼트 설게/랩뷰기초/lab view/7 segment/랩뷰코딩 설계/7세그먼트 설계/
    토의1) 코딩 중 발생한 문제와 해결랩뷰의 기초를 배우고, 7 segment가 내가 생각한 대로 작동할 수 있도록 코딩하는 과정에서 어려운 점이 많았다. ... 토의1) 코딩 중 발생한 문제와 해결2) 실습 후 느낀 점1. 주제1) 주제와 선정이유이번 실습에서 주제를 ‘Lab view를 활용한 7 segment 설계’로 선정하였다. ... 이는 디지털 시계, 엘레베이터 등 일상에서도 다양하게 활용되고 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.26 | 수정일 2021.01.22
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    디코더/구동기(7-segment decoder/driver): BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 ... 실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display): 숫자표시기라고 하며 일곱 개의 발광다이오드(LED: Light-Emitting Diode)로 이루어진 일곱
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대