• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(370)
  • 리포트(359)
  • 시험자료(4)
  • 논문(3)
  • 자기소개서(3)
  • 이력서(1)

"7segment display" 검색결과 1-20 / 370건

  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.이론2 ... 이 때, serial out인 DIO7에서는 0->1->0->1이 출력되었다. ... -LUT4_segmentLUT4_segment는 하나의 digit에 원하는 정보를 표시해주는 역할을 하게 된다.STEP 6:그림5-1에서, M4_1E의 output을 O(3:0)이라는
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 인코더 디코더와 7 segment display
    Segment Display7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... Segment Decoder IC- 7-Segment Display4. ... 기초전자회로실험 및 설계2 예비보고서제목 : 인코더, 디코더와 7-Segment Display1.
    리포트 | 12페이지 | 1,000원 | 등록일 2016.03.11
  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    Lec #8. FND(Flexible Numeric Display) 제어- 7 segments -1. ... *************0002110110131111001401100115101101161011111711100108111111191111011('1'-ON,'0'-OFF)3) segment제어를 ... )를 이용한다.① 특성 세그먼트 선택하고 그 세크먼트에 디스플레이할 데이터 출력② 다른 세그먼트 선택하고 그 세그먼트에 디스플레이할 데이터 출력5) Digit 신호를 사용하여 각 segment마다
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • verilog program 00부터99카운터(counter) 7-segments LEDs에 디스플레이(Display)
    (c,clr,{comA[3],comA[2],comA[1],comA[0]},{w0[3],w0[2],w0[1],w0[0]});decoder stage1({w0[3],w0[2],w0[1] ... clk, clr, comA, out);input clk, clr;output [3:0] comA;output [6:0] out;wire [3:0] w0;wire c;counter stage0 ... Out=7'b1001100; end5: begin Out=7'b0100100; end6: begin Out=7'b0100000; end7: begin Out=7'b0001101;
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.15
  • [마이크로컨트롤러]7 Segment LED 제어하기
    단 , 디스플레이 되는 시간간격은 0.5 초로 한다 . [ 프로그램 예제 ] 7 segment LED Array Display 7 #include avr / io.h #include ... 단 , 시간지연은 delay 함수를 이용한다 . [ 프로그램 예제 ] 7 segment LED Array Dynamic Display 8 #include avr / io.h #include ... 단 , 디스플레이 되는 시간간격은 0.5 초로 한다 . [ 프로그램 예제 ] I/O 포트를 이용한 7 segment LED Display 4 a d g b c f e h a b c
    리포트 | 8페이지 | 1,000원 | 등록일 2022.10.10
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의 ... segment display의 연결 구조이다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 마이크로 프로세서 시계 프로젝트
    설계(설계 과정 설명)1.요구 기능a. 7-segment의 각 자리 수에 지정된 시간을 표시한다.b. ... 개요■내장 지연함수를 사용하여 디지털시계를 제작●4자리의 7-segment(FND)에 다음과 같이 표시함(분) (10초) (1초)·(0.1초)○각 자리 수에 지정된 시간을 표시하고, ... 시간 지연 함수를 사용하여 7-segment에 표시되는 시간을 실제 시간과 최대한 오차가 작게 만들어 줘야한다.c.1초와 0.1초 사이의 점(도트)는 0.1초 자리의 값에 따라 깜박여야
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.29
  • Counter 회로제작 (기초공학실습, KAIST)
    RESULT WITH ERROR ANALYSIS (or GRAPH)CK pulse 에 따른 A,B,C,D 점에 대한 상태.- 실제로 7-segment-display의 동작을 확인하였다 ... 다음회로도와 같이 연결하시오.74LS47 과 7-segment의 같은 기호끼리 연결하시오2. ... segment display일곱 개의 LED를 접속하여 0∼9까지의 아라비아 숫자를 나타내는 소자로 내부의 LED를 Anode Common으로 만든 것과 Cathode Common으로
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 디지털 논리회로 3주차 예비보고서
    segment의 기능을 할 수 있다. 8이 출력된다는 것은 7-segment를 이루는 모든 LED가 켜졌다는 것이다. ... 따라서 입력 ABCD는 0111이 되어야 7이 출력이 된다.1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet를 확인하시오.516174477447은 ... 즉, LT기능을 통해서 7-segment의 LED가 모두 정상작동 하는지를 확인할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다. ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 7447 디코더의 동작을 살펴보고, 동작을 실험한다.③ 7-segment와 7447 디코더로 구성한 10진수 표시
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털시스템설계 7-segments 실습보고서
    실험목표FPGA 7-segments 구동 Design- 7-Segment LED Display 이해- 4-Digit의 7-Segment LED Display의 Rotate 동작의 이해 ... 실험 제목FPGA 7-segments 구동 Design2. ... 오른쪽 7-Segment에 숫자를 출력하는 코드이다.segment를 출력하는 코드이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... display
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 서강대학교 디지털논리회로실험 3주차 결과보고서
    , 4개의 display가 존재하며 하나의 원하는 패턴을 표시하기 위해서는 해당 7-segment display에 연결된 FND_COMMn 신호를 high상태로 유지하고 나머지 3개의 ... 실험목적1) 일반적인 binary decoder의 동작 원리를 이해한다.2) 7-segment decoder의 동작원리를 이해한다.3) Encoder의 동작원리를 이해한다.4) 표시장치 ... 일반적으로 입력이 출력보다 적고, enable신호가 존재할 경우, 이 신호들에 따라 정해진 기능을 동작한다. 7-segment decoder(74X49)는 이번 실험에서 사용하는 소자인데
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 아날로그 및 디지털 회로 설계 실습 결과보고서10 7segment/decoder 회로설계
    의 값을 순서대로 증가시켜 가며 실험을 진행하였을 때, 7-segment LED에 나타나는 결과가 다음의 사진과 같은지 확인하며 실습을 하였다.Display 될 값점등된 회로 결과000 ... 아날로그 및 디지털 회로 설계 실습-실습10. 7-segment/Decoder회로설계-10-4 설계실습 내용 및 분석7-segment 특성 확인주어진 7-segment의 Type(Anode ... segment 구동 회로 설계설계실습계획서 10-3-3에서 그린 7-segment 구동 회로에 토글 스위치를 추가하여 설계한다. 10가지 다른 입력 값에 대해 구현된 회로의 입력 단자와
    리포트 | 10페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • [예비보고서] 10.7-segment, Decoder 회로설계
    segment 구동 회로 설계Decode와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. ... 예비 보고서설계실습 10. 7-segment / Decoder 회로 설계10-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • 실습 10 7-segment Decoder 회로 설계 예비보고서
    설계실습 계획서10-3-1 7-segment/Decoder 진리표이론: 7-Segment: 이진수를 십진수로 표현하는 방법으로 7-Segment display(SSD)에 의해 물리적으로 ... Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 실습 10. 7-segment / Decoder 회로 설계10-1. 실험목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.10-2.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.09.19
  • D Flip-Flop을 활용한 십진 감가산기
    가산계산은 가산스위치를 올린 다음 CLK의 Positive Edge와 Negative Edge 에 따라 입력을 받아 두 수의 합이 7segment display() 형태로 일의 자리부터 ... 이러한 회로를 구성하여 계산 결과값이 하나의 7segment display()로 모두 표현되며 2자리수 이상의 수를 계산 할 수 있게 한다..설계 요구 사항 분석대분류 : 입력 모듈 ... >9 : +0110) , 감산 예외처리(sub 7447 BCD to 7segment프로젝트 사용 부품입력 모듈74LS74 D FLIP-FLOP – 5개7447 BCD to 7-Segment
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 서강대학교 디지털논리회로실험 레포트 9주차
    0]을 통해 7-segment display에 연결된다. ... 두 7-segment displays에 표시하기 위한 회로이다. ... 표에서 a-h는 7-segment display를 구성하는 개별 LED를 표시하며 KIT에 장착된 7-segment display의 특성을 반영해 LED의 on을 위해 0을, LED의
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    디코더/구동기(7-segment decoder/driver): BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 ... 실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display): 숫자표시기라고 하며 일곱 개의 발광다이오드(LED: Light-Emitting Diode)로 이루어진 일곱
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    아래 그림은 실습시 이용한 FPGA이고, 상단에 7segment 6자리로 구성된 display가 있다.그림1. ... 실습에서 이용한 Rov-Lab3000에는 다양한 기능들을 구현할 수 있도록 해두었는데, LED display, 7segment, 모터, RF신호, line tracer등을 만들 수 ... FPGA 활용 제품들References Hyperlink "https://components101.com/7-segment-display-pinout-working-datasheet
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대