• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(126)
  • 리포트(125)
  • 논문(1)

"7segment verilog" 검색결과 1-20 / 126건

  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd)
    -실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(ALU, BCD-to-7segmemt)]-관련 이론1. ... 따라서 그 사이에 원할히 통역해주는 코드가 필요한데, 이를 바로 2진화 십진코드(BCD)라고 부른다. 2진수 네 자리를 묶어 십진수 한 자리로 사용하는 기수법이다.3. 7-segment7세그먼트 ... LED의 어레이라고 생각하면 된다.아래 사진은 7세그먼트의 7개 영역을 이용해서 숫자를 조합한 모습이다.1) common-anode type7세그먼트는 모든 획의 LED에 연결되어
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • 논리회로설계, 7segment verilog 설계
    논리회로설계7segment verilog 설계입니다.발표자료(ppt)와 verilog .v 파일이 폴더 안에 있습니다. verilog를 이용하여 7segment 회로설계를 할 때
    리포트 | 9페이지 | 1,500원 | 등록일 2012.01.12
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    앞에서 봤던 다이어그램을 verilog로 구현한 최종 모듈이다. 4bit input을 BCD로 바꾸고 이를 2개의 7segment로 변환한 후 controller를 통하여 각각 10의자리 ... 7-segment와 10의자리 7-segment 2개로 숫자를 표현하는 과정이다.1. ... Line decoder의 Verilog 코드이다.2.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    수와, 덧셈 결과값을 7-segment에 출력한다. ... 처음에 comboⅠ 키트에서 입력받은 수를 첫 번째, 두 번째 7-segment에, 두 번째로 comboⅠ 키트에서 입력받은 수를 세 번째, 네 번째 7-segment에, 그 두 수를 ... 더한 결과값을 일곱 번째, 여덟 번째 7-segment에 십진수 표현으로 출력한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • verilog code - (combo kit) 10진수 2자리수 곱셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘×’으로 출력해야 하고, 첫 번째 keypad 입력을 누르고 떼는 그 ... segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다.?
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • verilog code - (combo kit) 10진수 2자리수 나눗셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘/’으로 출력해야 하고, 첫 번째 keypad 입력을 누르고 떼는 그 ... (dot 은 각 7-segment의 display 자리에 하나씩 있으므로 자리수를 차지하지 않는다.)그러므로 8개의 display 중 입력값을 나타내는데 쓸 수 있는 display수는
    리포트 | 15페이지 | 2,000원 | 등록일 2014.04.25
  • verilog code - (combo kit) 10진수 2자리수 계산기(덧셈,뺄셈,나눗셈,곱셈), 7-segment, vfd로 출력
    segment에는 연산을 표시하지 않는다.)bcⅵ) 그 다음, 숫자(d)를 입력하면 (10의 자리에는 일부러 0을 넣었다. 10의자리에 아무것도 display되지 않게 해놓으면 한자리수만 ... 7 - Segment 출력 설명ⅰ) 초기 화면엔 아무것도 뜨지 않는다.ⅱ) 처음 숫자(a)를 입력하면 (10의 자리에는 일부러 0을 넣었다. 10의자리에 아무것도 display되지 ... 헷갈리기 때문이다.)0aⅲ) 그 다음, 숫자(b)를 입력하면abⅳ) 그 다음, 숫자(c)를 입력하면bcⅴ) 그 다음, 연산 (덧셈, 뺄셈, 곱셈, 나눗셈)을 입력하면 (연산을 입력해도 7-
    리포트 | 32페이지 | 3,000원 | 등록일 2014.04.25
  • verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    소스 module seg(out7,out6,out5,out4,out3,out2,out1,a,b,c,d); // 7 segment 부분입니다. ... K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플롭을 이용하여 JK플립플롭을 만든다. ... 1.문제정의Veliog를 이용하여, 0~99까지의 숫자를 7-Segment 나타내는 Code를 구현한다. ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • 7-segment를 이용한 디지털시계(verilog HDL)
    최종적인 결과는 나오지 않았지만 단계를 거칠수록 그 주기가 커짐을 볼 수 있었다.다음으로 시간을 7-segment를 이용해 표시하게끔 코드를 짜야했다.프로젝트의 내용을 Verilog ... st3: next_state=st4;st4: next_state=st5;st5: next_state=st6;st6: next_state=st7;st7: next_state=st8;st8 ... Verilog HDL 코드일단 10진카운터를 만들어야 했다.이에 따른 코드는 최대한 빨리 만들기 위해 FSM을 이용했으며 코드는 다음과 같다.
    리포트 | 11페이지 | 3,500원 | 등록일 2005.03.30
  • verilog program 00부터99카운터(counter) 7-segments LEDs에 디스플레이(Display)
    (c,clr,{comA[3],comA[2],comA[1],comA[0]},{w0[3],w0[2],w0[1],w0[0]});decoder stage1({w0[3],w0[2],w0[1] ... clk, clr, comA, out);input clk, clr;output [3:0] comA;output [6:0] out;wire [3:0] w0;wire c;counter stage0 ... Out=7'b1001100; end5: begin Out=7'b0100100; end6: begin Out=7'b0100000; end7: begin Out=7'b0001101;
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.15
  • 디지털 공학 실험 XILINX 결과레포트 7-segment
    고찰이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)]2. 실험 결과3. ... 저번과 마찬가지로 코드의 정확한 작성이 매우 중요함을 알 수 있었다. ‘~’ 기호를 실수로 빼먹었는데 simulation을 하는 데에는 딱히 오류가 검출되지 않았지만 FPGA에서 각
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... 구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1. ... Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 8주차 예비+결과(코드포함) Application_Design_I 7-segment and Piezo_Control
    그리고 마지막으로 PIEZO buzzer를 설계함으로써 verilog 코드를 통한 응용을 학습한다.II.7-Segment, Piezo buzzer, dynamic 7-segment ... I.INTRODUCTION본 실험은 7-segment와 dynamic 7-segment, 그리고 PIEZO buzzer를 설계한다. 7-segment에 사용되는 decoder를 설계해보고 ... , 이러한 이해를 바탕으로 3개의 7-segment로 구성된 동적 7-segment를 설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    . 7-세그먼트 FND 디코더의 블록도4. 7-세그먼트 FND 디코더의 Verilog 코드1) fnd.vmodule fnd(clk,bcd,fnd_data, fnda, fndb, fndc ... 5;#50 bcd = 6;#50 bcd = 7;#50 bcd = 8;#50 bcd = 9;#50 bcd = 50;#50 bcd = 11;#50 bcd = 12;#50 bcd = 13 ... clk = ~clk;initialbeginclk = 1'b0;bcd = 0;#50 bcd = 1;#50 bcd = 2;#50 bcd = 3;#50 bcd = 4;#50 bcd =
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    이 그 회로를 디코더 출력 단과 연결하면 7-segment 출력 값을 얻을 수 있다.3. 이에 해당하는 Verilog를 코딩한다.4. 컴파일 후, 시뮬레이션을 해본다.5. ... 따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표3. 7 segment Controller 구현다음의 그림과 같이 7 세그먼트 컨트롤러를 ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    After understanding the theoretical backgrounds, we will implement 7-segment controller and ALU by using ... Then, we construct two logic circuits by Verilog HDL and simulate them by waveform. ... Abstract There are two main types of logic circuits: combinational logic circuits and sequential logic
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • 충북대 디지털시스템설계 결과보고서5
    해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다.1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. 4개의 ... 그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다.7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion- Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... 차례대로 7-Segment핀, Common Cathode 7-Segment 회로, Common Anode 7-Segment 회로를 나타낸다.a. 7-Segment Decoder 진리표b
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • [고려대학교 디지털시스템실험] - 모든 주차 A+ 결과보고서 총집합
    실험제목 Verilog, Quartus 툴 사용방법실험목표 Verilog 사용법을 이해하여 설계한 회로의 동작을 검증한다. ... 실험결과해당 주차에서는 Verilog의 기본적인 문법 및 설계 방식에 대해 알아본 후, 다음과 같은 그림의 회로를 설계해보는 실험을 수행하였다. input에 대한 t1, t2, result의
    리포트 | 45페이지 | 2,500원 | 등록일 2022.12.24 | 수정일 2023.01.02
  • 서울시립대학교 전전설2 8주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 7-segment, Piezo등 주변 디지털 장치 제어를 실험한다.나. ... Pre-Lab Report- Title: Lab#08 Peripherals(7-segment and Piezo Control)담당 교수담당 조교실 험 일학 번이 름목 차1. ... 알고리즘이 바로 double dabble algorithm 이다.이 double dabble algorithm은 3가지 구현으로 이루어져있다.1) 2진수의 데이터를 왼쪽으로 1비트 shift
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:54 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대