• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(556)
  • 리포트(527)
  • 시험자료(19)
  • 자기소개서(5)
  • 방송통신대(4)
  • 논문(1)

"8*1 mux" 검색결과 1-20 / 556건

  • [VHDL] Combinational logic design with 8to1 MUX & 4to16 Decoder, 코드 및 시뮬레이션 분석
    세 번째 실습은 함수 F(A,B,C,D) = ∑m(0,2,3,8,10,11,12,14,15)를 이해하고 이를 VHDL로 알고리즘을 짜 8 to 1 MUX를 이용한 회로와 4 to 16 ... MUX와 Decoder의 구조를 이해하여 내부 시그널을 인풋, 아웃풋 값들과 연결 짓는 것이 중요한 실습 포인트이다.
    리포트 | 28페이지 | 4,000원 | 등록일 2020.10.08 | 수정일 2021.10.18
  • 인하대학교 디지털시스템설계 (verilog) 8 to 1 MUX 설계
    1. 과제목적1. dataflow modeling의 3가지 방법으로 MUX를 설계해보고 장단점 분석하기2. 다수비트의 입출력 시스템에 대한 설계를 익히기3. ... 8. ... 고찰MUX를 만드는 코드를 3가지 배웠는데 이 3가지의 코드로 모두 구현해보았다.첫번째 코드는 부울대수의 지식만으로도 작성이 가능한 코드로써 매우 기초적인 코드이며 오타가 나기 제일
    리포트 | 12페이지 | 2,000원 | 등록일 2017.01.06 | 수정일 2018.03.24
  • verilog 2*1,4*1,8*1 mux 입니다
    ^^ 실제 테스트 한 것입니다.
    리포트 | 2,000원 | 등록일 2010.03.31
  • Vhdl을 이용한 8x1 MUX 설계
    그림과 같은 8x1 MUX를 설계하기 위해 우선적으로 2x1 MUX를 설계한다. ... 또한 추가적인 조건으로 8x1 MUX가 총 3개의 셀렉트 단자(각 2x1 MUX 별로 3개씩이 아님!!) ... 1. Purpose2x1 MUX 7개를 사용하여 총 3개의 셀렉트 단자를 갖는 8x1 MUX를 설계하고 입력에 따른 MUX의 동작 특성을 이해할 수 있다.2.
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • VHDL을 이용한 8X1MUX, 1X8DEMUX 실험보고서
    1. 8x1 MUX - 8X1 MUX 설계 EX) I 입력은 Bus switch로 S 입력은 Button Switch로 Y 는 LED로entity MUX_LSI ... (0 to 7); --BIT VETER 이라고 쓸 경우에는 S : in STD_LOGIC_VECTOR(0 to 2); --when others 안써도 됨 0과 1만 ... 인식 가능함 Y : out STD_LOGIC);end MUX_LSI
    리포트 | 10페이지 | 2,000원 | 등록일 2011.06.22
  • [asic] 8X1멀티플렉서(mux)
    Behavioral of Mux8x1 isbeginprocess(a, b, c, d, e, f, g, h, sel)beginif sel = "000" theny ... (2 downto 0);sel : in std_logic_vector(2 downto 0);y : out std_logic_vector(2 downto 0));end Mux8x1;architecture ... PROGRAMLibrary ieee;use ieee.std_logic_1164.all;entity Mux8x1 isport (a, b, c, d, e, f, g, h : in std_logic_vector
    리포트 | 2페이지 | 1,000원 | 등록일 2003.03.28
  • 부산대학교 어드벤쳐디자인 8장 예비보고서
    (1) 그림 8.3에 나타난 2:1 MUX를 확장하여 4개의 데이터 입력, 2개의 제어입력 및 1개의 데이터 출력을 가지는 4:1 MUX를 설계하라.데이터 입력 A, B, C, D이고 ... 진리표를 구해보면 아래 표와 같다.진리표를 바탕으로 8:1 MUX를 사용해 논리회로를 설계하면 다음과 같다. ... MUX를 사용해 논리회로를 설계하면 다음과 같다.(3) 8:1 MUX를 이용하여 다음의 논리함수에 대한 논리회로를 설계하라.       
    리포트 | 8페이지 | 1,500원 | 등록일 2022.11.13
  • 시립대 전전설2 Velilog 결과리포트 5주차
    이번 설계에서는 3X8 디코더와 2:1MUX에 대해 알아보도록 한다.2. ... 조합에 대해서 M개의 출력 단자 중 1개만 High값이 출력되고, 나머지 출력단자에서는 Low값이 출력된다.그중 3x8 디코더는 3개의 입력선과 8개의 출력선을 갖는 디코더를 의미한다.CBAO7O6O5O4O3O2O1O00000 ... 진리표는 위와 같이 나오고 이를 간단하게 정리하면SQ0A1B이와 같은 형태로 정리 할 수 있다.논리회로논리식Q = S’A + SB3) 4:1 MUX2:1 MUX와 동일한 기능을 하지만
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    다음은 2:1 mux의 진리표와 논리회로이다.Mux의 Karnaugh Map을 이용한 최적화하면 다음과 같다Y=S’*D0 + S*D1D1D0s*************10011Demuxdemux는 ... [0]입력 값을 사용하지 않으므로 불필요하여 오류가 난 것 같다.2)case문Gate primitive이용한4:2 Encodertest benchsimulationpin(3) 3x8 ... (4) 2비트 2:1 Mux -case1) if/ else if문 사용if문을 사용한 muxtest benchsimulationpinView Technology Schematic(5
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 한양대 MUX & DEMUX
    또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX의 ... 관련 이론먼저, 다중화기 : Multiplexer (MUX)란 다수의 정보 장치를 소수의 채널이나 선을 통해 전송하는 회로이다. ... Chapter 1. 실험 목적Multiplexer와 Demultiplexer의 원리를 이해한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 디시설, 디지털시스템설계 실습과제 4주차 인하대
    4 to 1 MUX그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림 SEQ 그림 \* ARABIC 2 : wave form8 to 1 MUX그림 SEQ 그림 \* ARABIC ... Waveform을 살펴보면 각 s1, s0의 경우마다 i3 ~ i0이 정확하게 선택되는 것을 볼 수 있다.8 to 1 MUX도 마찬가지로 3항 연산자를 사용해서 코드를 작성했다. 4선택 ... : wave form고찰4 to 1 MUX 부터 살펴보자.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. 시뮬레이션을 통해 올바르게 코딩을 했는지 확인한다. ... 고찰(1)이번에는 실습할 문제들이 상당히 많았다. 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다. ... 실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    실습제목: 8X1 MUX1. ... 이때 Sel과 Input의 관계는 다양하게 정의할 수 있지만, 간단하게 2진수인 Sel의 크기순으로 Input을 배정했다.MUX_8X1use.work.my_package.all로 전에 ... 주제 배경 이론멀티플렉서는 여러 개의 입력을 받고 Selcet 선에 신호를 인가하여 어느 신호를 보낼 것인지 선택할 수 있다. 8X1 MUX의 진리표는 다음과 같이 작성할 수 있다.입력선택출력I7I6I5I4I3I2I1I0S2S1S0YX000I0001I1010I2011I3100I4101I5110I6111I72
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • multiplexer(멀티플렉서) VHDL 실습보고서
    (mux8_i)을 구성하고, 3비트의 selection 비트(mux8_s), 그리고 출력값 1비트(mux8_o)를 구성합니다. architecture부분에서는 2-1multiplexer을 ... 그리고 그 출력값은 mux2_o으로 나오게 됩니다.1-2)8-1multiplexer그림 7. 8-1multiplexer 코드8-1multiplexer 모듈입니다. 8비트의 input ... 8-1MUX로 확장 시켰고, 그 과정속에는 7개의 2-1MUX가 사용되며, 내부 신호를 통해 값들이 전달되고, 출력될 수 있도록 하였다. 2-1MUX 내부에는 2개의 input이
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    이를 응용하는 능력을 기르는 것이 이 실험의 목적이다.4 to 1 MUX와 1 to 4 DEMUX의 회로를 구성하고 동작을 이해한다.3 to 8 MUX와 8 to 3 DEMUX의 ... 구현된 4:1 MUX를 사용하여 다음의 논리함수를 실현하고 그 동작을 실험으로 확인하라.74x151 은 8:1 MUX이다. ... A,B,C가 0일 때 F는 1이다.A,B가 0이고 C가 1일 떄 F는 1이다.실험2먼저 회로를 구성하기 위해 설계한 논리회로 설계는 다음과 같다.여기서 8:1 MUX와 NOT게이트가
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 결과 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    이제 S의 값과 D0, D1의 값에 따라 두 입력 중 하나의 입력이 출력되는 것이다.1bit mux2bit mux2bit mux의 논리도를 구현하면 다음과 같다. 2bit mux는 ... primitive이용한4:2 Encodertest benchsimulationpin2)case문 combo box 작동결과0001 – 000010-010100-101000-11(3) 3x8 ... 하지만 이렇게 수정해도 오류가 떴고 결과적으로는 아예 배제해야 함을 알 수 있었다.2) 2bit mux2bit mux의 진리표와 논리회로에 대해서 생각해보고자 한다.2bit mux
    리포트 | 22페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • u Processor 설계
    선택 입력의 개수를 N이라고 하면 입력 데이터의 개수는 개의 관계를 가진다.이번 설계 실습에선 4x1 MUX, 2x1 MUX를 사용하는데 4x1 MUX는 Register 1~4로부터 ... ALU 로 데이터를 전달하기 위하여 사용하고 2x1 MUX는 Program Memory와 ALU 연산결과를 Data Bus에 올려놓기 위해 사용한다.코드 및 설명2x1 MUX4x1 ... MUX출력 결과2x1 MUX4x1 MUXu_Processor 결과고찰이번 설계 실습을 통해 간단한 u_Processor 를 만들어 봄으로써 이전의 컴퓨터 구조론이라는 강의에서 배웠던
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 서울시립대 전자전기설계2(전전설2) 5주차 결과보고서
    실습5 2비트 2:1 MUX회로 case문 설계실습 5는 2비트 2:1MUX 회로를 case문을 사용해 설계하는 것이다.기존 사전보고서에 일일이 모든 조건을 설정했던 것과 달리 실습시간에서는 ... )은 2번 LED, (0,1,0,0)은 1번 LED, (1,0,0,0)은 모든 LED에 불이 들어온다.4. 3:8 디코더 if문 사용해 설계실습4는 3:8 디코더를 if문을 사용해 ... 교수님의 지적을 받아 변수 s만을 고려하여 간략화하여 MUX 회로를 작성하였다.테스트벤치 시뮬레이션 결과 MUX회로의 결과에 합당하게 s가 0이면 a의 값이 결과로, s가 1이면
    리포트 | 16페이지 | 1,500원 | 등록일 2019.10.13
  • [건국대학교 논리회로 A+][2024 Ver] 4주차
    앞서 설계한 MUX_2x1 부회로 4개, 입력 핀 8개, 출력 핀 4개를 그림1, 2와 같이 배치하였다.그림 1, 2에서 볼 수 있듯이, A, B, Sel 값에 따른 F값은 다음과 ... 그 후 9개의 출력핀(A, B 타입 8개, Sel핀 1개), 5개의 출력핀(F 타입 1비트 출력핀 4개, F_Bus타입 4비트 출력핀), 2개의 스플리터를 사용하여 그림7, 8과 같은 ... Splitter_Test 회로 생성을 통한 핀 타입, 버스 타입 비교(그림 7)(그림 8)Splitter_Test라는 새 회로를 생성한 후 빈 캔버스에 MUX_2x1_4Bit_P (
    리포트 | 11페이지 | 5,000원 | 등록일 2024.08.14
  • [부산대 어드벤처디자인] 8장 multiplexer, decoder 및 encoder 예비보고서
    회로를 구성하고 동작을 이해한다 (2) 3 to 8 MUX와 8 to 3 DEMUX의 회로를 구성하고 동작을 이해한다2. ... 실험목적MUX/DEMUX 와 Encoder/Decoder의 구조와 동작원리를 이해하고 이를 응용하는 능력을 기르는 것이 실험의 목적이다 (1) 4 to 1 MUX와 1 to 4 DEMUX의 ... 1.
    리포트 | 10페이지 | 2,000원 | 등록일 2024.03.15 | 수정일 2024.04.15
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대