• 통큰쿠폰이벤트-통합
  • 통합검색(2,187)
  • 리포트(1,989)
  • 시험자료(141)
  • 방송통신대(31)
  • 논문(20)
  • 자기소개서(6)

"8-bit Register" 검색결과 1-20 / 2,187건

  • 8-bit Register&8-bit Shift Register verilog code/플립플롭을 이용한
    -bit Register8-bit Shift Register분석 및 고찰8-bit Register8-bit Shift Register를 설계해 보았다. 8-bit Register는 ... 따라서 q값을 wire로 선언해주었다. 8-bit Shift Register비트연산자를 이용해 dir이 ‘1’이면 shift right, ‘0’이면 shift left하도록 구현했다 ... 코드8-bit RegisterD_FF 하위 모듈8-bit Shift Registertestbench 코드8-bit Register8-bit Shift RegisterSimulation8
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.17
  • [HDL-Verilog] D F/F, 8bit register, 8bit shift register
    결과값▶D-Flip Flop▶8-bit register8-bit shift register5. ... [LAB 2, 3, 4] 실습 레포트(D-Flip Flop, 8-bit register, 8-bit shift register)1. ... Truth Table & Symbol▶D-Flip Flop▶8-bit register8-bit shift register3.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.06.05
  • 논리회로설계실험 D-FF , 8bit Register 설계
    1)D-FF 설계 library IEEE;use IEEE.std_logic_1164.all;entity dff isport( d, clk : in std_logic;sim:/tb_dff
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • [공학기술]vhdl-D flipflop, 8bit register
    library ieee;use ieee.std_logic_1164.all;entity dflipflop is port(d,clk:in std_logic; q:out std_logic);end dflipflop;architecture behave of dflip..
    리포트 | 4페이지 | 5,000원 | 등록일 2007.06.26 | 수정일 2015.06.25
  • 논리회로설계실험 8주차 register 설계
    4.1) 8-bit Register8-bit register의 출력 wave는 위와 같이 나왔다. ... 1) Objective of the Experiment(실험 목적)이번 실습에서는 8-bit register8-bit shift register를 structural modeling으로 ... 작동함을 알 수 있다.4.2) 8-bit shift Register8-bit shift register의 출력 wave는 위와 같이 나왔다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    Shift register를 이용한 multiplier 설계이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... 디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다. ... 그리고 곱셈의 결과는 5bit에서 8bit까지 4번의 동작으로 결정된다.위의 그림 (a)에서 빨간색 박스를 HP라고 하고, 처음에는 multiplier를 저장하다가 곱셈결과의 아래쪽
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    바로 아래 라인을 살펴보면 reg[W-1:0]reg_file[N-1:0] 에서 앞의 [W-1:0]은 W가 8이므로 총 8비트의 데이터 값을 갖는다는 의미이고, 포트이름 뒤의 [N-1 ... 카운팅이 제대로 수행되는 것을 확인했다.이제 두번째 문제인 16 8bit register file을 살펴보자. 16개의 칸에 8bit의 값을 입력 받는다. ... register file그림8 : 모듈구현 결과그림 9 : waveform결과분석 및 고찰첫번째 문제에서는 hierarchical 하게 카운터를 구현하기 위해서 N bit binary
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    74244 8-bit 버퍼를 사용하여 각 레지스터들이 버스를 점유하는 것을 선택하게 설계했다. ... 그 위 3 bit가 3to8 Decoder에서 D 신호가 되어 나온다. ( D0~D7 )가장 상위 bit는 주소 모드를 나타내는 I bit가 나온다.명령어를 수행할 타이밍은 4-bit ... , 메모리, 입출력 명령어-레지스터 참조 명령어T3 타이밍에서 수행되 I=1인 명령어로 IR(0~11)의 각 비트위치를 B(i)로 표시하며 6가지 명령어를 표시한다.
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 디지털 시스템 제어공학
    카운터외부 오실레이터에 의한 Real Time Counter2개의 8비트 .PMW 채널2비트에서 16비트까지 조절이 가능한 6개의 PMW 채널8채널의 10비트 ADC- 8개의 싱글 ... 32개의 8비트 범용 레지스터 R0~R13을 가지고 있다. ... ATMEL사가 개발한 AVR은 현재 8비트 AVR과 32비트 AVR을 제공하고 있는 마이크로 컨트롤러이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2024.05.09
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    4bit shift register (4개의 플립플롭)? 8bit shift register (8개의 플립플롭)3. ... 각각의 비트마다 하나의 플립플롭이 필요하다. 예를 들면 8비트 2진수를 저장하는 레지스터는 8개의 플립플롭을 가지게 된다. ... 우 쉬프트 레지스터- 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터- 에서 register1 : 1011 저장, register2 : 1010이 저장되어 있다고
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • [논리회로실험] 실험7. Shift Register 결과보고서
    예상결과 Truth table과 일치한다.2) 실험 2 : 5bit Shift Right Register- 5bit shift right register를 구성한다.- 9번과 8번 ... 실험 과정 및 실험 결과1) 실험 1 : 6bit Shift Right Register- 6bit shift right register를 JK 플립플롭을 이용해 구성한다.- CLR을 ... 고찰이번 실험에서는 Shift Right Register와 순환 레지스터를 직접 구현해보고 결과를 통해 truth table을 작성하고 시프트 레지스터의 특성을 알아보았다.실험 1의
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • [논리회로실험] 실험7. Shift Register 예비보고서
    ) 실험 2 : 5bit Shift Right Register- 5bit shift right register를 구성한다.- 9번과 8번 핀에 0을 입력한다.- CLR로 모든 정보를 ... 실험이론1) Shift Register와 n비트 레지스터- 시프트 레지스터는 일련의 연결된 플립플롭으로써 잠정적 데이터 저장 능력을 갖추도록 하여 클럭 펄스가 들어올 때마다 저장된 ... 실험과정 및 예상 결과1) 실험 1 : 6bit Shift Right Register- 6bit shift right register를 JK 플립플롭을 이용해 구성한다.- CLR을
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • [마이크로컨트롤러]10th_USART
    : 비동기 통신방식 , 전송속도 : 115,200bps, // - 데이터 프레임 : 데이터 비트 8, 패리티 없음 , 정지 비트 1 // - 데이터 송수신 방식 : 인터럽트 방식 ... ] = [00] //no parity 데이터 비트 설정 : [UCSZ01:0] = [11] and UCSR0B 레지스터 [UCSZ2]=0 //8 비트 데이터 스톱 비트 설정 : [USBS0 ... UDRn 레지스터는 2 개로 구성 UCSRnA (USARTn Control and Status Register A) USARTn 제어 및 상태 레지스터 A - 송수신 관련 상태 플래그
    리포트 | 25페이지 | 1,500원 | 등록일 2022.10.10
  • 서강대학교 23년도 마이크로프로세서응용실험 4주차 Lab04 결과레포트 (A+자료)
    따라서 {r1, r0}와 {r3, r4}를 더해야 한다. line 12는 두 64-bit 수의 하위 32-bit끼리 더하고, 결과를 r0 레지스터에 저장하고 flag를update한다 ... 따라서 line 28에서는 line 27에서 도출해낸 r11의 값을 exponent인 r8에 저장한다. line 29는 exponent가 있어야할 자리로 이동하기 위해 23-bit만큼 ... 이때 지정된 레지스터를 r0로 한 이유는 사용되는 레지스터의 개수를 절약하기 위함이다.그림 13-2: line 11 수행 결과그림 13-3: line 12 수행 결과line 11 수행
    리포트 | 68페이지 | 1,000원 | 등록일 2024.03.24 | 수정일 2024.09.02
  • 시립대 전전설2 A+ 6주차 예비레포트
    가능함Ex) 8-bit Up CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트 up-counter의 모듈 설계11) Sequential Logic ... 병렬 데이터가 결정된다.Shift register같은 동작을 함9) 4-bit SIPO Register4비트 직렬입력/병렬출력(SIPO; Single-Input-Parallel-Output ... Parallel Output) Register직렬 데이터의 입력을 받아 병렬의 데이터를 출력하는 기능을 함N 비트의 직렬입력/병렬출력 레지스터는 N개의 클럭이 발생하는 데이터에 의해서
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • [방송통신대학교] 컴퓨터구조(출석수업대체과제)
    ② 캐리비트(Carry bit)는 두 수를 가산하여 캐리가 발생하면 bit가 1로 세트되고 아니면 0이 된다. ... 또한 감산에서 자리내림(borrow)이 발생했을 때도 1로 세트된다.③ 부호비트(Sign bit)는 출력 최번이다. ... 따라서 정답은 ① 레지스터 모드(register mode) 이다.② 레지스터 간접 모드(register indirect mode)는 지정된 레지스터는 오퍼랜드 자체가 아닌 오퍼랜드가
    방송통신대 | 9페이지 | 4,000원 | 등록일 2022.10.03
  • 디지털시스템설계실습_HW_WEEK7
    또, D-FF의 동작원리에 대해서도 다시 한 번 복습해보는 시간이었다.8-bit Register를 구현하면서 register의 동작원리를 이해할 수 있었다. ... • Discussion이번 실습과제는 DFF with synch reset and enable, 8-bit Register, 4-digit Seven Segment LED Display를 ... 과제에서는 ld = 1이면 input값인 D가 Q에 저장되면서 메모리의 역할을 하는 것을 알 수 있었고, 8bit로 선언했기때문에 8bit가 저장되는 것을 알 수 있었다.4-digit
    리포트 | 9페이지 | 2,000원 | 등록일 2023.06.11
  • 홍익대 디지털논리실험및설계 9주차 예비보고서 A+
    왜 입력이 A와 B로 나누어져 있는지 설명하시오.8-bit Serial-in Parallel-out Shift Register 74164의 회로도이다. ... 111010100기본실험(1)에서 D Flip-flop을 이용하여 결선한 8-bit 시프트 레지스터와 결과는 동일하다.2.3 응용실험 (1)CLKQ0Q1Q2Q3Q3’?? ... 실험 준비1.1 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 확인하고 MR’의 역할에 대하여 설명하시오.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • [마이크로컨트롤러]8th_16비트타이머카운터
    개의 8 비트 레지스터로 구성 → AVR GCC 컴파일러에서는 단순히 OCRnx 로 사용 : OCRnx (16 비트 ) ← OCRnxH (8 비트 ) + OCRnxL (8 비트 ) ... 16 비트 타이머 / 카운터 레지스터 타이머 / 카운터 1 TCNT1 (16 비트 ) = TCNT1H (8 비트 ) + TCNT1L (8 비트 ) 타이머 / 카운터 3 TCNT3 ... TCNTnH 와 TCNTnL 두 개의 8 비트 레지스터로 구성 → AVR GCC 컴파일러에서는 단순히 TCNTn 로 사용 : TCNTn (16 비트 ) ← TCNTnH (8 비트 )
    리포트 | 34페이지 | 1,500원 | 등록일 2022.10.10
  • 서강대학교 23년도 마이크로프로세서응용실험 8주차 Lab08 결과레포트 (A+자료)
    각 pin의 기능, 동작형식을 정의하기 위해 GPIO port마다 설정을 위한 레지스터가 제공된다.• 32-bit configuration register GPIOx_CRL, GPIOx_CRH ... • 32-bit data register GPIOx_IDR, GPIOx_ODR• 32-bit set/reset re세서가 서로다른 pin 수와 배열을 갖는데, 이때 특정 주변연결 소자의 ... 이론의 table 8.4에서 볼 수 있듯, GPIOx_CRH, CRL은 해당 port의 pin들의 동작 모드를 설정하는 configuration register이다.각 4bit마다,
    리포트 | 31페이지 | 2,000원 | 등록일 2024.03.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대