• 통큰쿠폰이벤트-통합
  • 통합검색(1,051)
  • 리포트(945)
  • 시험자료(63)
  • 방송통신대(36)
  • 자기소개서(5)
  • 논문(2)

"8.RAM[결과]" 검색결과 1-20 / 1,051건

  • 8.RAM[결과]
    -결과 보고서-1. ... 세 번째 과정에선 기억된 데이터를 다시 읽었기 때문에 같은 결과가 나왔고, 네 번째 과정에선 휘발성을 확인하기 위해 Vcc 입력단을 분리한 후 다시 연결하여 출력을 측정한 결과, 0000이 ... ) 여러 개를 이용하여 2-bit RAM을 구성하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.07.05
  • 실험8결과[1].RAM
    RAM 결과 PAGE \* MERGEFORMAT - 1 - ... 실험 결과2-bit RAM그림 SEQ 그림 \* ARABIC 1. 2-bit Random-Access MemoryWrite(WR pin)Input 0Input 1Read (OE pin ... Random_access_memory74LS89 -http://pdf1.alldatasheet.com/datasheet-pdf/view/111202/ETC/74LS89.html실험8.
    리포트 | 3페이지 | 1,000원 | 등록일 2011.06.27
  • 아주대 논리회로실험 실험결과8 RAM(Random Access Memory)
    실험 8. ... R A M 결과보고서● 실험 결과 분석실험 1. 2-bit RAM (시뮬레이션 대체)실험 2. 64-bit IC RAM-type 74897489 IC를 이용하여 구성한 64-bit ... 그리고 D01~DO4는 우리가 결과를 뽑아낼 출력부인 것이고 가장 중요한 ME,WE 단자는 RAM이 어떤 동작을 할지를 결정해주는 단자이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.04
  • 결과보고서 실험 8. RAM (Random Access Memory)
    < 결과보고서 : 실험 8. ... RAM을 만들어 보는 실험이다. ... Address(Binary)와 Data를 입력에 넣어주면 출력으로 Address(Binary)가 Gray 코드로 변환되어 출력된다.< 실험 고찰 >실험 8.
    리포트 | 5페이지 | 3,000원 | 등록일 2012.03.11
  • [아주대] 논리회로실험 8장&9장 결과(Counter&RAM)
    The Resulting ReportExperiment 8 ? ... 볼 수 있듯이 앞으로의 모든 실험에서 공급전류원은 5V DC 전압을 사용했다.< 실험 2 >< 실험 2 > 회로도실험 결과 구성한회로 사진실험 2에서는 RAM소자를 이용하여 데이터의 ... 목적과 동작원리에 대해 알고 회로에 직접 구현하는 실험이었다.실험2에서는 RAM소자를 이용하여 데이터의 저장과 로딩을 확인하는 실험이었다.
    리포트 | 6페이지 | 2,000원 | 등록일 2013.09.25
  • [PC활용] 컴퓨터 시스템구성 이해하기
    검색 결과로 나오는 "시스템 정보" 프로그램을 실행합니다.3. 프로그램에서는 프로세서, 메모리(RAM), 그래픽 카드 등의 하드웨어 사양을 확인할 수 있습니다.? ... 게임용현 사양: Intel Core i5 프로세서, 8GB RAM, 256GB SSD, 독립 그래픽 카드업그레이드 사양: Intel Core i7 프로세서, 16GB RAM, 1TB ... 사진편집용현 사양: Intel Core i5 프로세서, 8GB RAM, 256GB SSD, 통합 그래픽 카드업그레이드 사양: Intel Core i7 프로세서, 16GB RAM, 512GB
    리포트 | 3페이지 | 4,500원 | 등록일 2023.11.10
  • [LX세미콘] [R&D digital design] [학사 채용연계형 인턴] 22하 합격 자기소개서
    결과, 전국에서 60명만 선발하는 대통령 과학 장학생에 선발될 수 있었습니다. ... 이를 위해서 32bit의 정보를 int 8의 형태로 양자화하고, 정확도를 나타내는 지표인 mAP을 높이는 과정이 필요했습니다.소프트웨어 상의 quantized model과 매칭시키기위해 ... [RAM 모델링 및 컨트롤러를 포함한 AI 가속기 설계 경험]AIX 설계 경진대회에서 vivado tool을 사용하여 정보 저장 및 처리에 필요한 RAM과 컨트롤러를 설계하며 메모리
    자기소개서 | 3페이지 | 4,500원 | 등록일 2022.12.26 | 수정일 2023.01.06
  • [A+보고서] 회로실험 메모리 회로 예비보고서
    주소 비트는 멀티플렉싱 되 지 않는 경우가 많으며 결과적으로 제어회로가 간단히 구성되는 장점이 있다.3) RAM의 성능- 클럭 : CPU와 마찬가지로 가장 객관적인 성능 지표가 된다 ... 따라서 256 X 16 ROM은 2^8 x 16 ROM으로 워드 수는 8이다. 16bit 크기의 기억공간이 8개의 워드만큼 있다는 의미이다. ... 즉, 16bit의 워드길이(크기)를 가졌으며 워드 8개를 저장하는 형태로 되어 있음을 의미하고, 4096(256 X 16) 비트 ROM이라고도 불린다.
    리포트 | 9페이지 | 1,500원 | 등록일 2022.12.24
  • 서강대학교 23년도 마이크로프로세서응용실험 3주차 Lab03 결과레포트 (A+자료)
    그림21-3 line 9 명령어인 “ldr r4, =sram_alias”를 수행하자, 그림21-2에서 레지스터 r4에는 0x20000000이 저장되었다. line 1이 ”sram_alias ... 메모리 소자는 크게 ROM과 RAM으로 구분되는데, 이 둘의 차이는 volatile 여부로 나뉜다. ... STEP 33: 그림33-1 그림 33-1은 line 22의 ltorg directive를 활성화한 disassembly 결과이다.
    리포트 | 35페이지 | 1,000원 | 등록일 2024.09.02
  • 인공지능시대의 컴퓨터 개론 기출문제 족보 3장 정오식
    (O)8. 동적 RAM은 정적 RAM보다 접근 속도가 빠르다.(X)- 정적 RAM이 더 빠르다.9. ROM은 전원이 끊어지면 기억된 내용이 모두 지워지는 휘발성 메모리이다. ... 그래픽 카드는 입력 장치로 입력한 내용이나 컴퓨터에서 처리된 결과를 화면을 통해 표시하는 장치이다.(O)14. ... 펌웨어는 시스템의 효율을 높이기 위해 RAM에 들어있는 기본적인 프로그램이다.(X)- RAM이 아닌 ROM이다.4.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.04.29
  • (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판기
    DD RAM은 8bit의 문자 코드 데이터를 저장할 수 있는 최대 80*8 비트의 용량을 가지고 있다.4) CG RAM (Character Generator RAM)CG RAM은 사용자가 ... 회로도 설명 / 시뮬레이션 및 실험결과 분석5. 실험사진, 동영상6. ... (Display Data RAM)LCD 패널 화면과 1:1로 동일하게 대응되는 RAM.
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
  • 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    결과 확인 및 pin mapping결과를 확인하기 위해선 제일 먼저 shift register를 위해 C버튼으로 Load를 넣어주고 key matrix의 2, 4, 6, 8버튼으로 ... 결과적으로 선택버튼이나 취소버튼을 누르게 되면 출력용 RAM의 주소를 현재 위치 즉, RAM1의 주소로 고정되도록 만들었다.Figure 14수정하는 동안에도 다른 dot에 데이터가 ... 총 2개의 SR8RLED 를 사용하며 각각의 아웃풋은 encoding 작업 후 3bit의 정보로 만들어준후 64x1 RAM 의 address에 입력된다.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서7
    Input을 바꿔가며 Output값을 확인한다.예상 결과: 회로도를 보면 두 개의 R-S F/F을 이용하여 2 BIT RAM의 기본 동작을 알아봄을 알 수 있다. ... 상업용 RAM은 각 워드(word)가 8비트에서 64비트로 된 수천 개의 워드 용량을 가지고 있는데 여기에 있는 메모리 장치의 모형을 확장시키면 용량이 큰 메모리 장치의 설계도를 만들 ... 엔지니어로서의 자세: 기술상의 업무에 대한 솔직한 비평을 구하고, 수용하고, 제공하며, 오류를 인정하고 수정하며, 타인의 기여를 적절히 인정한다.8.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 9주차 Lab09 결과 레포트 LCD Control 전자전기컴퓨터설계실험2,
    슬라이딩 되도록 설계설계조건Combo box 실험결과사진상으로 왼쪽으로 쉬프트 모습이 잘 찍히진 않았지만 실제 동작결과 잘 작동됨을 확인할 수 있었다.2. ... 실험 결과실험1) Text LCD에 학번과 이름을 출력codeCombo box 실험결과실험2) Text LCD에 학번과 이름을 출력하되 길거리 광고판처럼 글자가 왼쪽으로 한 칸 씩 ... 실험 결과············································32.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.11.11
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서7
    RAM의 종류와 기본적 동작원리에 대해 이해한다.3. RAM이 어떻게 이용될 수 있을지 생각해본다.1) 실험 과정 및 결과실험1? ... 엔지니어로서의 자세: 기술상의 업무에 대한 솔직한 비평을 구하고, 수용하고, 제공하며, 오류를 인정하고 수정하며, 타인의 기여를 적절히 인정한다.8. ... 실험 결과회로도결선도?
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.24
  • [논리회로설계실험]VHDL을 통해 구현한 RAM
    다음표는 write가 모두 끝난 후(125ns까지), ram안에 주소값 별로 들어있는 데이터를 나타낸 것이다.ram주소값저장된값ram주소값저장된값0000(0)11111000(8)X0001 ... 1, we=1 로 write가 되며, 주소0011에 입력값 1100 write7. 105ns : en=1, we=1 로 write가 되며, 주소0100에 입력값 1011 write8. ... Discussion1)예측과 실제 실험 결과 대조 분석다음은 출력값의 실험갑과 예측값을 대조하였다.Timedo예측값0ns~63nsUU65ns1111111173ns1111111175ns1110111083ns1110111085ns1101110193ns1101110195ns11001100103ns11001100105ns10111011113ns10111011115ns00110011123ns00110011125ns
    리포트 | 15페이지 | 2,000원 | 등록일 2021.06.26
  • VHDL_3_RAM,ROM,JK Flip Flop, Register
    FlipFlop은 1bit를 저장하므로 8비트 레지스터는 FlipFlop 8개가 필요하다. ... 시뮬레이션 결과 및 설명 ... 실습제목: RAM, ROM1. 주제 배경 이론RAM(Random Access Memory)과 ROM(Read Only Memory)은 메모리의 일종이다.
    리포트 | 13페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • [논리회로실험] RAM 결과보고서
    실험 과정 및 실험 결과1) 실험 1 : 2-bit RAM- 7400으로 R-S Flip-Flop 2개를 구성하여 위의 회로를 구현한다.* Write- In0, In1의 입력 값으로 ... 엔지니어로서의 자세: 기술상의 업무에 대한 솔직한 비평을 구하고, 수용하고, 제공하며, 오류를 인정하고 수정하며, 타인의 기여를 적절히 인정한다.8. ... RAM1.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.31 | 수정일 2023.03.29
  • 아주대 논리회로실험 실험9 RAM 예비보고서
    핀1, 2번에 들어오는 input값의 결과를 핀3번에 출력하고, 핀4, 5번에 들어오는 input값의 결과를 핀6번에 출력, 핀9, 10번에 들어오는 input값의 결과를 핀 8번에 ... 핀1, 2번에 들어오는 input값의 결과를 핀3번에 출력하고, 핀4, 5번에 들어오는 input값의 결과를 핀6번에 출력, 핀9, 10번에 들어오는 input값의 결과를 핀 8번에 ... 양쪽의 핀이 8개씩 총 16개가 존재하고, 8번 핀과 16핀은 회로를 동작시키기 위한 전원이 들어간다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • MC68HC08에서 효율적인 C코드 작성하기
    플래그는 방금 실행한 명령의 결과를 표현. ... ZERO_PAGE는 Z_RAM 영역에 할당했다.코드내부에 정의된 변수들은 #pragma를 이용해 특별히 지정하지 않는 한, DEFAULT_RAM에 할당된다.Loops 구현시 주의사항만약 ... 예를 들면, CPU 레지스터의 수가 적다든지 RAM/ROM의 크기에 제한이 있다는 것 등이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.01.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:01 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대