• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(66)
  • 리포트(60)
  • 논문(2)
  • 자기소개서(2)
  • 시험자료(2)

"8051 Uart" 검색결과 1-20 / 66건

  • [인하대]전자회로실험/전자공학실험2 족보
    AVR이라는 RISC- 하버드 아키텍처 기반으로 설계하였고, 이는 CISC 기반의 intel 8051(현재 엘리베이터, 전기 밥솥 등에 사용) 보다 빠른 속도를 제공한다. ... UART, RS232, SPI, JTAG 통신 등을 지원하는 형태로 구성되어 있고, 내부에는 watchdog 등을 통해 다양한 기능을 하도록 동작한다. 32-resistor가 ALU에
    리포트 | 1페이지 | 35,000원 | 등록일 2021.10.04
  • SoC 보고서 - 4.8051
    하이퍼터미널은 UART 통신 방식을 이용하므로 UART에 해당하는 부분을 세팅.타이머 및 인터럽트는 사용하지 않음.코드 2-A-2M8051wrap 포트8051에 사용되는 포트에 관한 ... 적절한 레지스터맵을 설계하기 위해서는 8051의 동작에 대해 알아야 한다.다음은 8051의 출력 타이밍도이다. ... 그러므로 설계 전 사용하고자 하는 메인 프로세서를 이해하는 것이 아주 중요하다.8051다음 그림은 8051의 블록다이어그램이다.
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 8051마이크로컨트롤러 시험자료
    8051 마이크로컨트롤러 시험자료※타이머/카운터 차이점=>타이머의 각 단게는 입력 클럭을 2분주하여 동작하는 하나의 2플립플롭으로 구성8051 타이머는 두 개의 16비트 타이머로 구성되며 ... SMO=1이고 SM1이 0일때 모드 2로 직렬 포트가 동작된다동작모드[3] =>모드 3 가변 보오레이트의 9비트 UART는 트를 프로그램할 수 있다는 것 제외하고는 모드 2와 같다 ... (1)+DATA(8)+STOP(1)29비트 UARTFosc/64,/23S(1)+D(8)+9BIT(1)+STOP(1)39비트 UART가변S(1)+D(8)+DARITY(1)+S(1)동작모드
    시험자료 | 6페이지 | 1,500원 | 등록일 2014.12.04
  • 인터럽트를 이용한 직렬통신 예비 보고서
    또한 UART에서는 보드레이트라고 하는 데이터 송수신 클록을 생성하여 제공하는 보드레이트 생성기를 가지고 있습니다.- 8051 통신포트의 구조 -8051의 시리얼 통신 모드는 4가지가 ... 험성명공동실험자학번제출일자성명인터럽트를 이용한 직렬 통신□ 직렬 통신과 8051의 통신포트의 구조에 대해 설명하시오.- 시리얼 통신(UART) -장비간 또는 장치와 장치간에 그리고 ... 통신모드1은 가장 많이 사용하는 UART 모드로서 타이머/카운터1의 오버플로 출력 펄스를 보드레이트니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2012.06.26
  • 11.15(UART)
    ,sbuf);endendinitial begin#10000;force oc8051_uart1.rxd=1'b0;#10000;(추가된 부분)release oc8051_uart1.rxd; ... 실습 제목UART2. ... Disscussion이번주는 저번 시간과 마찬가지로 UART에 대해 실습을 하였다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • 10.25(UART)
    /src/includes/oc8051_defines.v"module tb_oc8051_uart__0;reg rst;reg clk;reg wr;reg wr_bit;reg [7:0] wr_addr ... 실습 제목UART2. ... /src/includes/oc8051_timescale.v"`include "..
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.15
  • 11.1(UART)
    실습 제목UART2. ... 실습 목표verilog HDL 코드를 작성하여 테스트벤치 파일을 만들어 UART를 설정한다.테스트벤치 파일에서 bit_in의 타이밍을 시뮬레이션에 나타내 보도록 한다.3. ... /`ifdef SOC_TESTreg [7:0] scon_next;always @(posedge clk or posedge rst) beginif(rst) scon
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • AVR 개괄 및 이해
    I/O Lines, FullDuplex UART Serial Channel]▶ C8051SILICON사에서 제조한다. ... 【 몇 가지 MCU에 대한 각각의 특징 】▶ 8051인텔, ATMEL 등에서 제조한다. ... ATMEL의 8051 계열은 단시간 내에 최소의 비용으로 개발하는 데매우 적합하다. [8-bit ALU, Two 16-bit, Timer/Counters, 32 Programmable
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.03
  • 전자회로실험및설계 : MCU 예비보고서
    그 외에도 128Byte의 RAM, 4KB의 프로그램을 위한 ROM, 4Byte 양방향 입출력 포트, 시리얼 포트(UART), 2개의 16bit 카운터/타이머 등이 있다.8051의 ... 이런 특징으로 8051이 산업에서 인기를 끌게 되었다.8051 아키텍처를 사용하는 Atmel의 MCU는 AT89C2051, AT89C51, AT89S51, AT89C52, AT89S52 ... 오늘날에는 20여 개가 넘는 회사들이 8051 호환 칩들을 생산하고 있는데, Atmel 사도 그 중 하나이다.일반적으로 8051 아키텍처는 1개의 8bit ALU(Arithmetic-logic
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • MCU 자료조사
    Programmable I/O LinesFull Duplex UART Serial ChonneC8051사용자에게 완벽한 설계 유연성, 시간단축, 뛰어난 시스템 성능 및 최종 제품 차별화 ... -ATMEL의 8051계열은 단시간내에 최소의 비용으로 개발하는데이 매우 적합함.-8051 Architecture-8-Bit ALUTwo 16-Bit Timer/Counters32 ... Summary-High Performance-Outstanding memory technology-Low Power Consumption-High Integration-High Code density8051
    리포트 | 5페이지 | 1,500원 | 등록일 2016.04.05 | 수정일 2021.08.28
  • 기초전자공학실험2UART 실습
    기초전자공학 실험21.TitleUART 실습2.Name3.Abstract■ 컴퓨터와 8051 보드와의 serial 통신 원리를 이해하고 예제 소스를 분석하여 동작 시켜본다.■ 컴퓨터와 ... 8051 보드간의 통신 프로그램을 직접 구현하여 본다.4.Experimental Results1.실험 #1A.Data#includevoid serial_init(){TMOD = 0x20
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.09
  • LG하우시스 경력 PPT 자료
    ), ATMEGA64 NORDIC(nrf24e1), TI(MSP430) Function Wireless Modem Control (Full-UART) GPS Protocol Design ... STM32F4x Memory : SDR/DDR3, NOR, Parallel NAND, SPI NAND FPGA : Xilinx Spartan3AN 6 Device SiliconLab (C8051
    자기소개서 | 5페이지 | 3,000원 | 등록일 2018.12.19 | 수정일 2019.01.23
  • 5주차 결과보고서(UART와 AD컨버터)
    특히 8051 계열 프로세서가 이런주파수의 오실레이터를 자주 사용하는데 그것은 8051에서는 (통신 비트율 x 16)의 정수배 주파수가 필요하기 때문이다.1.2.7 RS-232 표준 ... 때문이다. 8051 계열 마이크로 컨트롤러에서는 내부 타이머 중 하나가 이용도로 지정되어 있어 직렬 통신을 사용할 때 그 타이머는 다른 용도로 사용할 수 없다. ... UART?
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 제어계측공학과 졸업작품『ATMEGA128을 이용한 지능형 알람로봇』
    그리고 프로세서에 전진, 좌회전, 우회전 다양한 논리 동작을 프로그래밍 하여 주변 장애물을 동작 시 PIC보다 4배 빠르고, 8051보다 10배 이상 빠름? ... ATMega128, ATMega32, ATMega16, ATMega8 등 ISP를 지원하는 모든 8비트 AVR 마이크로 컨트롤러 다운로드 기능과AT89S52, AT89S51등 89S계열의 8051호환 ... 기존 myUSB2UART와 핀맵이 호환 되도록 제작하여 유선으로 PC와 연결하던 것을 무선으로 대체 할 수 있다.제품의 특징- 초소형 Bluetooth2UART- Blurtooth
    논문 | 30페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 8051 마프 실험3
    프로그램이 가능한 시리얼 UART Channel : RX, TX14. 128×8Bit 의 내부 RAM메모리는 크게 램(RAM)과 롬(ROM)으로 나뉜다. ... [실험3] 8051 개발환경 사용실습1. ... 필요장비 및 부품(1) 필요장비IBM PC, 8051 IDE(μVision2), ROM writer, 8051 SE516SP보드3.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.24
  • 11.22(BUS)
    전체적인 개념은 UART인데 그 속에 Loopback으로 인해 데이터를 전송했고, 수신했는지 확인하는 모습이다. ... ("data_rd = 0x%h", data_rd);wr = 1'b0;rd = 1'b0;addr = 8'd0;data_wr = 8'd0;#150;wr = 1'b1;addr = `OC8051 ... data_wr = 8'b0101_0000;// Mode1, REN#30;wr = 1'b0;addr = 8'd0;data_wr = 8'd0;#100;wr = 1'b1;addr = `OC8051
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • [통신]Uart를 이용한 통신
    이것은 인텔사의 i8051과 같은 임베디드 프로세서 내부에 내장되는 장치와 거의 유사하다 할 수 있다.S3F441FX보다 높은 사양의 ARM Chip의 경우, 송수신 각 채널에 16바이트의 ... 모든 컴퓨터에는 직렬 포트를 관리하기 위해 UART가 있고, 모든 내부 모뎀도 각자의 UART를 가지고 있다.명확하게 말하면, UART는 컴퓨터에게 RS-232C DTE 인터페이스를 ... IR은 가시광선을 전송하는 것이므로 안개와 같은 대기조건에 민감하다.(3) Uart 관련 레지스터1) LCON(UART line control register) : UART 통신시,
    리포트 | 3페이지 | 1,000원 | 등록일 2005.12.08
  • 인터럽트를 이용한 직렬통신 결과 보고서 (1)
    통신모드1은 가장 많이 사용하는 UART 모드로서 그림과 같이 타이머/카운터1의 오버플로 출력 펄스를 보드레이트 발생기의 입력으로 사용하기 때문에 타이머1을 사용하는 것 입니다. ... 때문에 SCON 레지스터에 0x50으로 초기화 해 주었습니다.PCON 레지스터는 8051의 파워 절약 모드 제어와 통신의 보드레이트 생성 과정에서 클록 분주를 위해 사용 합니다. ... 실험조건의 보드레이트는 4800bps 이므로 타이머1의 출력 주파수는이 되어야 합니다. 8051에서 1번의 오버플로가 발생시 걸리는 시간의 식은이며는 틱의 수 이며는 시간 입니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 타이머 만들기 실험 보고서입니다.
    단위로 4 그룹)개의 양방향 입출력 포트로 컨트롤러 내부와 외부의 데이터를 주고받을 수 있으며 4개의 모드로 사용 가능한 2개의 16bit 타이머/카운터가 제공되어 I/O 확장모드나 UART모드를 ... 이름 설명AT89C51 8051 CPU로 안에 플래시 메모리가 내장되어있고 이 메모리에 시계 구동에 필요한 프로그램이 저장되어 있다.SN74LS47 FND를 구동하는 IC이다.MC7805 ... 타이머 모드 레지스터는 타이머 0과 타이머1의 입력 클럭을 결정하거니 4가지의 모드를 설정, 카운터로 사용할 것인지를 결정해주는 레지스터이다.4.타이머/카운터의 동작 모드 종류8051
    리포트 | 9페이지 | 1,500원 | 등록일 2013.12.09
  • 결과-8051
    ★고찰이번 실험은 8051 마이크로컨트롤러 실험에 앞서 프로그램 작성법, 관련 소프트웨어 사용법 및 작성한 프로그램을 컴퓨터상에서 시뮬레이터로 검증하는 방법을 익히는 것이 목적이었다 ... 프로그램이 가능한 시리얼 UART Channel : RX, TX14. 128×8Bit 의 내부 RAM★실험결과#include //헤더파일(AT892051.H)을 프로그램에 포함시킨다void
    리포트 | 4페이지 | 1,000원 | 등록일 2013.05.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대