• 통큰쿠폰이벤트-통합
  • 통합검색(535)
  • 리포트(517)
  • 시험자료(15)
  • 자기소개서(2)
  • 논문(1)

"8bit counter" 검색결과 1-20 / 535건

  • VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서가. ... PR과 CLR에 따른 출력 Q와 Q'의 동작을 그림 4-6(c)에 기능표로 나타내었다.다. 8bit-Counter8비트 카운터는 8비트의 정보들로 이루어진 신호가 어떠한 입력에 따라 ... 상태를 256개 모두 나타내기는 어려우므로 간단한 예인 8비트 동기식 2진 카운터를 보면 입력신호 U='H' 일 때 8비트의 2진수가 현재상태보다 1만큼 커진 2진수가 되고, D='
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • [Ayeun] 마이크로프로세서응용 6,7주차 결과보고서 마프(ATmega128,8bit timer,counter,스탑워치과제 코드)
    마이크로프로세서응용 결과보고서제목6주차 결과보고서 + 7주차 결과보고서 = 부록으로 포함학과전자공학과학번성명제출일2018. 11. 21확인1. 8Bit Timer/Counter 관련 ... PWM + 16비트 PWM)신호변조기 기능 : 변조기기능 ≫ 8비트 PWM 신호와 16비트 PWM 신호를 AND또는OR 논리연산으로 혼합하는 기능#동작원리사용자가 원하는 시간간격을 ... 무한 반복문에서 count가 250인지 계속 체크한다.7. 250이면 PORTA의 값을 전부 바꿔줘서 반짝이게하고 count를 다시0으로 초기화한다.8.
    리포트 | 19페이지 | 1,000원 | 등록일 2018.12.22
  • D-FF을 이용한 8bit counter 설계
    D-FF을 이용한 8bit counter 를 설계하라 (구조적 모델링)LIBRARY ieee;USE ieee.std_logic_1164.ALL;entity upcounter8 isPort ... ( clk : in std_logic ;cval : out std_logic_vector (7 downto 0) ) ;end ;Architecture upcounter of upcounter8 ... port map (cval_b(0), cval_b(1), cval(1), cval_b(1)) ;d2 : DFF port map (cval_b(1), cval_b(2), cval(2
    리포트 | 2페이지 | 1,500원 | 등록일 2008.12.07
  • 논리회로설계실험 10주차 up down counter설계
    S0부터 S7까지 총 8개의 state가 필요할 것이므로 3-bit의 state가 필요하고, output과 next_state도 마찬가지로 3-bit가 필요할 것이다.2.2) Mealy ... S0부터 S7까지 총 8개의 state가 필요할 것이므로 3-bit의 state가 필요하고, output도 마찬가지로 3-bit가 필요할 것이다.3) Verilog Implementations ... 이러한 특징을 이용하여 3-bit up-down counter를 Moore machine-style diagram으로 그려보았다.오른쪽의 diagram과 같이 current state에
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    코드에 대한 자세한 설명을 하고자 한다.입력으로 clk, 8bit binary 그리고 출력으로 자리 수 변수와 segment에 나타날 값을 변수설정▼입력한 8bit binary를 ... 실험 결과(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. up counter 설계2. static 7 segment를 모듈화해서 사용codeCombo ... 토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림2 : waveform (eup = 1)그림3 : waveform (eup ... up down counter를 cascadable하게 구현했다. ... 카운팅이 제대로 수행되는 것을 확인했다.이제 두번째 문제인 16 8bit register file을 살펴보자. 16개의 칸에 8bit의 값을 입력 받는다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    일단 8-bit counter인 CB8CE를 사용한다면, clock의 frequency를 8M/(28), 대략30kHz로 줄일 수 있다.위 그림과 같이, counter 출력의 MSB인 ... 따라서 이 counter는 원래 4-bit counter이지만, 3-bit counter로서 동작하게끔 변형했다는 것을 알 수 있다. ... 이 때 ROM의 8-bit 출력은 A(2:0)의 값에 해당하는 row에 출력하고자 하는 패턴에 해당하는 column 신호가 되는 것이다.STEP 13:CB4RE는 4-bit counter인데
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    제어, 4-bit bcd 입력을 받아서 single FND를 제어하는 8-bit 신호 (‘점’을 포함) out을 출력하는 모듈, FND array를 제어, 8-bit 2’s complement ... 시뮬레이션 결과 설계한 4-bit up counter의 동작을 확인하는 모습 (장비 동작 경우의 수가 많아 일부만 첨부)(2) [실습 2] Design counter with PiezoSource ... Result of this lab(1) [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.Source codeTestbenchPIN testbench
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    과정을 통해 4-bit-up-down counter를 구현할 수 있었습니다.3. ... Data analysis (compare results, reasons of error)1) 실습 1이 실습은 4-bit의 up counter를 single FND를 활용해 표시해주는 ... Results of this Lab (실험 결과)(1) Results of Lab 04-bit up counter의 출력 값을 single FND 에 표시하시오.- 입력:Clock
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다. ... 실습 5(binary to bcd conversion algorithm 조사)실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다.binary
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    ~ 127 로 표현하는 모듈설계 조건*코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다.code(6) 실습64-bit up-down counter의 출력 값을 FND ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation ... 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건code(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부 및 변수를 가지는 모듈설계
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다.(음수를 표현한다면 7번 shift 해야한다.) ... 실습 5(binary to bcd conversion algorithm 조사)실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다.binary
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • VHDL 실습(8bit Counter, State Machine) 결과
    한다. 4비트 2개를 8비트로 나타내기위해 &를 사용하였고 Count에 변환하여 출력이 된다. ... ☞ 이번에 설계한 8비트 카운터는 4비트 카운터 2개를 이용하여 구성하였다. 2개 중 왼쪽(앞쪽)에 있는 4비트 카운터의 Carry값이 1일 때만 동작하게 한다. ... 즉 오른쪽(뒷쪽) 4비트 카운터의 상태가 “1111”일 때 T가 1이 들어오면 Carry가 발생 해 다음 카운터는 Carry값 1을 T값으로 받으면서 왼쪽 4비트 카운터가 동작하도록
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    따라서 col값이 두 개 이상의 row에 대해서 출력되어, 그림C-2처럼 흐릿한 잔상이 생기는 것이다.또는, 3.57us가 8x8 dotmatrix의 LED의 응답속도보다 빠르기 때문에 ... .- 타이머 clock에 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다.이론2-1. ... 타이머 counter는 input channel rising edge마다 reset되어야 한다.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 기초실험1 7 segment counter 결과보고서(틴커캐드)
    다음 실험은 counter를 이용해 입력되는 비트 수는 4이지만 출력은 0000부터 1001까지 변하는 counter를 구현하는 실험이었다. ... 그래서 저항(145옴)을 통해 전류의 양을 조절했다.2. counter(1) 4 bit decade counter: 4bit decade counter를 이용해 입력되는 값이 0000부터 ... 또한, 이를 이용해 7 segment와 연결해, clock을 1Hz로 주어 1초마다 숫자가 증가하는 것을 확인할 수 있었다. 1초마다 출력값이 바뀌는 4bit decade counter
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    존슨 카운터의 대표적인 응용 예로 CD4017, CD4022라는 소자로 써 Decade counter 또는 8 counter로 쓰인다.2. ... 4bit shift register (4개의 플립플롭)? 8bit shift register (8개의 플립플롭)3. ... 카운터(counter)(1) 링 카운터(Ring counter)- 링 카운터는 전체적으로 데이터가 회전하는 시프트 레지스터를 말하며, 맨 마지막 플립 플롭의 출력이 첫 번째 플립플롭의
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 시립대 전전설2 A+ 6주차 예비레포트
    bit Up CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트 up-counter의 모듈 설계11) Sequential Logic Modeling순차회로 ... .vtb_up_down_counter4.vSimulation6) 실습 6frequency_div.vtb_frequency_div.vSimulation7) 응용과제logic_5bit.vtb ... 직렬입력/병렬출력 레지스터는 N개의 클럭이 발생하는 데이터에 의해서 병렬 데이터가 결정된다.Shift register같은 동작을 함9) 4-bit SIPO Register4비트 직렬입력
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 시립대 전전설2 A+ 8주차 예비레포트
    . 2’s complement 방식으로 입력Load: Button SW# 터치 (1Hz 클럭에 동기화)출력: 8비트 signed count값 (2’s complement)을 앞의 [ ... binary 입력을 bus SW에 연결하여 오른쪽 표의 숫자들로 동작 확인할 것5) 응용과제Signed 4-bit up-down counter의 출력 값을 FND Array에 표시하시오.입력 ... 실험 내용1) 실습 1Design counter with Piezo (테스트 벤치 시뮬레이션은 생략)Inputclk: 1MHzButton Switch: 1 ~ 8(도레미파솔라시도)
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    그림(c)는 3-bit counter를 보여준다. ... 우선 가위 바위 보, 물음표의 패턴을 디자인한 후, 각 column의 정보를 8개의 64bit-ROM에 저장하였다. address는 8-bit 단위로 하나의 패턴을 의미하게 된다. ... bit로 변환하기 위해 8-bit register을 사용하였고, controlUnit에서 register의 CLR과 enable 신호를 조작하기 위해 FD8CE를 사용하였x display
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    그리고 곱셈의 결과는 5bit에서 8bit까지 4번의 동작으로 결정된다.위의 그림 (a)에서 빨간색 박스를 HP라고 하고, 처음에는 multiplier를 저장하다가 곱셈결과의 아래쪽 ... Shift register를 이용한 multiplier 설계이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... out되는 output의 complement를 feedback시키는 counter를 johnson counter라고 한다.
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대