• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(136,912)
  • 리포트(123,317)
  • 시험자료(9,365)
  • 자기소개서(1,319)
  • 방송통신대(1,214)
  • 서식(824)
  • 논문(403)
  • ppt테마(380)
  • 이력서(45)
  • 노하우(42)
  • 표지/속지(3)

"8x1" 검색결과 1-20 / 136,912건

  • 원가관리회계_1. 20x8년 3월 현재 기말제품재고액을 계산하시오. 2. 20x8년 3월 현재 기말원재료재고액을 계산하시오. 3. 20x8년 3월 현재 기말재공품재고액을 계산하시오.
    원가관리회계1. 20x8년 3월 현재 기말제품재고액을 계산하시오.2. 20x8년 3월 현재 기말원재료재고액을 계산하시오.3. 20x8년 3월 현재 기말재공품재고액을 계산하시오.Ⅰ. ... 이번 화재로 손실 전액을 보험금으로 충당할 수 있으며, 이를 위해 보험회사에 제시할 자료는 다음과 같다.1. 20x8년 3월 현재 기말제품재고액을 계산하시오.기말제품재고액을 구하는 ... [원가의 정의]사례의 내용은 주)동경은 화학약품을 제조하는 회사이다. 20x8년 3 강도 7지진이 발생하여 회사에 화재가 발생하여 제조공장과 창고가 완전 소실되었다.
    리포트 | 5페이지 | 3,000원 | 등록일 2022.04.23
  • 전자계산기 구조 (1.f(a, b, c) m(2, 4, 6, 7)의 진리표를 작성하고, A, B 그리고 B, C를 각각 선택선으로 했을 때, 4 x 1 멀티플렉서(Multiplexer) 블록도를 설계하여 도시하시오.2.4K ROM 1개와 1K RAM 사용하여 8비트 마이크로컴퓨터를 설계하여 그림을 그리고 반드시 각각 Ram 칩 번호를 다르게 설정하고,)
    ........................Page.21.1 진리표1.2 4 x 1 멀티플렉서2. 2번 문제......................................... ... A,B 그리고 B,C를 각각 선택선으로 했을 때 4 x 1 멀티 플렉서 블록도1) 선택선 A, B2) 선택선 B, C2. 2번 문제(5주차 2차시 - 메모리 모듈, 134p)4K ... 교안 87p)f(a, b, c) = ∑m(2, 4, 6, 7)의 진리표를 작성하고, A, B 그리고 B, C를 각각 선택선으로 했을 때, 4 x 1 멀티플렉서(Multiplexer
    리포트 | 9페이지 | 10,000원 | 등록일 2021.10.18
  • 8x1 mutiplexer
    09/09/26 토요일1. 8×1 Multiplexer Circuit2. 8×1 Multiplexer Truth Table임의로 x1=0, x2=1, x3=0, x4=1, x5=0, ... x6=0, x7=1, x8=1이라 한다면 s1, s2, s3 조합에 따라 출력 f에 각각 x1~x8값이 차례대로 나오도록 하였다.s1s2s3fx1=00000x2=00010x3=00100x4 ... 8×1 Multiplexer Truth Table? 8×1 Multiplexer Circuit의 시뮬레이터 결과
    리포트 | 2페이지 | 1,000원 | 등록일 2009.11.03
  • 졸-겔반응에서 pH 및 Rw제어를 통한 Sr3-xMgSi2O8:EUx (0.01≤x≥0.1) 형광체의 발광특성 변화
    한국재료학회 안중인, 한정화, 김창해
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • VHDL을 이용한 8X1MUX, 1X8DEMUX 실험보고서
    1. 8x1 MUX - 8X1 MUX 설계 EX) I 입력은 Bus switch로 S 입력은 Button Switch로 Y 는 LED로entity MUX_LSI ... (0 to 7); --BIT VETER 이라고 쓸 경우에는 S : in STD_LOGIC_VECTOR(0 to 2); --when others 안써도 됨 0과 1만
    리포트 | 10페이지 | 2,000원 | 등록일 2011.06.22
  • Vhdl을 이용한 8x1 MUX 설계
    그림과 같은 8x1 MUX를 설계하기 위해 우선적으로 2x1 MUX를 설계한다. ... 또한 추가적인 조건으로 8x1 MUX가 총 3개의 셀렉트 단자(각 2x1 MUX 별로 3개씩이 아님!!) ... 1. Purpose2x1 MUX 7개를 사용하여 총 3개의 셀렉트 단자를 갖는 8x1 MUX를 설계하고 입력에 따른 MUX의 동작 특성을 이해할 수 있다.2.
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • [asic] 8X1멀티플렉서(mux)
    1. 문제 설명VHDL 프로그램을 사용하여 8X1멀티플렉서를 Behavioral Modeling 방법으로 프로그래밍을 하고 그 결과를 출력하라.2. ... Behavioral of Mux8x1 isbeginprocess(a, b, c, d, e, f, g, h, sel)beginif sel = "000" theny ... (2 downto 0);sel : in std_logic_vector(2 downto 0);y : out std_logic_vector(2 downto 0));end Mux8x1;architecture
    리포트 | 2페이지 | 1,000원 | 등록일 2003.03.28
  • log8=0.9030900, log9=0.9542425, log11=1.0413927, log12=1.0791812 일 때, x=8,9,11,12의 데이터를 사용하여 log10의 값을 추정하는 데 3차 Newton 보간다항식으로 접합시켜라. 참 백분율 상대 오차를 구하여라
    문제3 위 연립 방정식의 Gauss-Seidel 법을 사용하여 백분율 상대오차가 5 %이하가 되도록 해를 구하여라.Gauss-Seidel SourceGauss-Seidel 실행결과결론x1 ... = 0.50025x2 = 8.00011x3 = -6.00007구해진 값들을 본래 식에 대입해본 결과(10 x 0.50025) + (2 x 8.00011) – (-6.00007) ... = 27.00279(-3 x 0.50025) + (-6 x 8.00011) + (2 x -6.00007) = -61.50155(0.50025) + (8.00011) + (5 x -
    리포트 | 9페이지 | 2,000원 | 등록일 2010.04.21
  • 2020학년도 1학기 출석수업대체과제물 디지털논리회로
    무관조건d(w,x,y,z)= SMALLSUM m(0,`2,`5) 를 갖는 다음 식을 간소화하시오.F(w,x,y,z)= SMALLSUM m(1,3,7,11,15)8. ... 부울함수F(x,y,z)= SMALLSUM m(1,`2,`3,`4,`5,`7) 를 NAND 게이트로 구현하시오.※교재 5장(주관식문제 5, 8번)9. ... 8진수로 바꾸시오.16진수 68BE를 2진수로 바꾸기6 => (0)1108 => 1000B => 1011E => 11102진수를 8진수로 바꾸기110 =>(1 TIMES 2 ^{2
    방송통신대 | 10페이지 | 6,000원 | 등록일 2020.05.27
  • 한양대 MUX & DEMUX
    또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX의 ... Chapter 1. 실험 목적Multiplexer와 Demultiplexer의 원리를 이해한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 시립대 전전설2 Velilog 결과리포트 5주차
    이번 설계에서는 3X8 디코더와 2:1MUX에 대해 알아보도록 한다.2. ... 조합에 대해서 M개의 출력 단자 중 1개만 High값이 출력되고, 나머지 출력단자에서는 Low값이 출력된다.그중 3x8 디코더는 3개의 입력선과 8개의 출력선을 갖는 디코더를 의미한다.CBAO7O6O5O4O3O2O1O00000 ... 배경 이론3x8 decoder디코더는 해독기를 의미하며 임의의 입력 번호에 대응하는 출력만을 활성화 시킨다.N비트 2진 입력 신호를 M개 (개) 의 출력 신호로 변환시키는 동작을 수행한다.입력
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 짝수 패리티 및 CRC 계산
    이를 설명하면 아래와 같이 해당 비트로 표시할 수 있다.x8 x5 x2 11 0 0 1 0 0 1 0 1(3) CRC 계산을 위해 나누기와 XOR 연산을 수행한다. ... ) 생성다항식 x8 + x5 + x2 + 1을 사용하여 CRC 값을 구하시오.CRC는 순환 중복 검사(Cyclic Redundancy Check)라고 하며, 집단 오류를 검출하기 위해 ... + x5 + x2 + 1을 사용하여 CRC 값을 구하시오.
    리포트 | 4페이지 | 4,500원 | 등록일 2023.10.08 | 수정일 2023.10.11
  • [방송통신대학교] 디지털논리회로 출석수업대체과제물
    입력조합(2 ^{3}=8)으로 구성 되며,출력란은 X=0, Y=0, Z=0 일 때 1, X=0, Y=0, Z=1 일 때 1,X=0, Y=1, Z=0 일 때 1, X=1, Y=0, Z ... (8)※ 교제 3장(주관식문제 1, 3번)4.F= {bar{X}} Y {bar{Z}} + {bar{X}} {bar{Y}} Z 의 보수를 구하시오.F = XYZ + XYZ= (XYZ ... 출력이 1개이므로 입력단을 X, Y, Z로 표시하고 출력단을 F로 나타낸 블록도를 그린다.조합논리회로XY FZ(그림 5-4, 블록도)② 입력이 3개이므로 진리표의 입력란은 8개의
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
  • [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    구현된 4:1 MUX를 사용하여 다음의 논리함수를 실현하고 그 동작을 실험으로 확인하라.74x151 은 8:1 MUX이다. ... 실현된 2:4 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라.74x138은 출력이 active low 상태인 3:8 디코더이다. 그 동작을 점검하라. ... 또한 74x138 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라.4개의 입력을 가진 우선순위 인코더를 실현하고 그 동작상태를 점검하라.실험 결과실험1먼저 회로를
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • 디멀티플렉서, 멀티플렉서 설계
    8디코더 74138의 인에이블을 데이터 입력으로 사용하고, A, B, C를 선택 선으로 사용하면 디멀티플렉서가 된다.출력은3 TIMES 8디코더의 부정 값으로 나타난다.G 선택하므로 ... 실험 제목1 TIMES 4① 디멀티플렉서1 TIMES 8② 디멀티플렉서(74138 사용)③ 멀티플렉서와 디멀티플렉서(디멀티플렉서만 사용)2. ... 조작하면출력 LEDD _{2}는 Low(0),D _{0} ,`D _{1} ,`D _{3}는 High(1)가 나온다.1 TIMES 8② 디멀티플렉서(74138 사용)의 원리3 TIMES
    리포트 | 18페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 방통대 디지털논리회로 출석과제물
    1개 이므로 입력단을 x, y, z로 구성하고 출력단을 F로 그려진 블록도를 표시한다.입력이 3개이므로 진리표의 입력란은 8개의 입력조합 (=2³)으로 통일될 수 있으며, 출력란은 ... , 11, 15)교재 5장 (주관식 문제 8번)입력이 3개이고 출력이 1인 조합논리회로에서 입력 중 0의 개수가 1의 개수보다 많으면 출력이 1이 되고, 그 외의 경우는 0이 되는 ... 8번)입력이 3개이고 출력이 1인 조합논리회로에서 입력 중 0의 개수가 1의 개수보다 많으면 출력이 1이 되고, 그 외의 경우는 0이 되는 조합논리회로를 설계하시오.입력이 3개, 출력이
    방송통신대 | 5페이지 | 4,800원 | 등록일 2024.06.19
  • 동물세포와 식물세포의 관찰
    입 안의 상피세포는 동물 세포이므로 엽록체는 관찰되지 않는다.X40, X100, X400 배율은 상이 뚜렷하게 잘 관찰되었는데 X1000 배율은 상이 흐릿하게 보인다.8. ... 1. Title : 동물 세포, 식물 세포 관찰2. Date : 2021. 03.153. Name :4. ... 여분의 에탄올을 여과지로 빨아들인다.⑤ cover glass 한쪽 면에 증류수를 떨어뜨려 여과지로 빨아들인다.⑥ 다시 cover glass 한 면에 methylene blue 용액 1~
    리포트 | 4페이지 | 2,500원 | 등록일 2024.01.31
  • 홀수 패리티 및 CRC 계산
    이를 설명하면 아래와 같이 해당 비트로 표시할 수 있다.x8 x4 x2 11 0 0 0 1 0 1 0 1(3) CRC 계산을 위해 나누기와 XOR 연산을 수행한다. ... 생성다항식 x8 + x4 + x2 + 1을 사용하여 CRC 값을 구하시오.CRC는 순환 중복 검사(Cyclic Redundancy Check)라고 하며, 집단 오류를 검출하기 위해 ... + x4 + x2 + 1을 사용하여 CRC 값을 구하시오.
    리포트 | 4페이지 | 4,500원 | 등록일 2020.04.07 | 수정일 2023.10.10
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    실습제목: 8X1 MUX1. ... .소스코드 설명Packagepackage에서 function을 만드는 방식으로 프로그램을 만들었다. package에서 사용할 포트를 설정했고 8X1이므로 8개의 입력 선을, log28 ... 이때 Sel과 Input의 관계는 다양하게 정의할 수 있지만, 간단하게 2진수인 Sel의 크기순으로 Input을 배정했다.MUX_8X1use.work.my_package.all로 전에
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 방통대 ) 디지털논리회로 대체과제물
    )11(3번)10(2번)00(0번)X11X01(4번)X111(12번)110(8번)1위와 같은 카르노 도표를 얻으므로, 가 된다.부울함수 를 NAND 게이트로 구현하시오.F를 간소화하면 ... 각각 7자리이므로,이 된다.n자리 2진수 A와 B가 있을 때, B와 B의 2의 보수 는 임을 이용하여,,즉, A와 B의 2의 보수를 더한 후, 8번째 자리 ( )의 1을 빼주면 된다.따라서 ... 2진수 01*************0이 된다.2진수를 8진수로 바꾸기 위해선 임을 이용하면,이므로 2진수 0110100010111110은 8진수로 변환하면 64276이 된다.의 보수를
    방송통신대 | 7페이지 | 5,000원 | 등록일 2020.05.18 | 수정일 2020.06.13
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대