• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(279)
  • 리포트(215)
  • 자기소개서(30)
  • 논문(29)
  • 시험자료(3)
  • 서식(1)
  • 이력서(1)

"ATMega128 모터" 검색결과 1-20 / 279건

  • AVR Atmega-128를 이용한 적외선 센서와 DC모터를 연동하여 구동하는 소스코드
    ====================================== 모듈 제어를 위한 정의 ====================================== *///- DC 모터
    리포트 | 4페이지 | 1,500원 | 등록일 2017.12.10 | 수정일 2017.12.12
  • AVR(ATmega128)을 이용한 모터 RPM 계산
    Input Capture를 이용한 RPM 계산 소스분석●과 목 :●교수님 :●제출일 :●학 년 :●학 과 :●학 번 :●이 름 :● 소스 분석include // ATmega128의 ... #include// switch 1을 누르면 모터 속도 증가, switch 2를 누르면 모터 속도 감소// encoder 신호를 상승에지에서 캡쳐하여 속도계산, 7-segment로 ... 추가되거나 차이나는 부분이 없어 이해하는데 크게 어려운 점이 있거나 하진 않았으나 이번엔 Motor의 특성까지 생각해주면서 interrupt [TIM3_CAPT] void TIM3
    리포트 | 7페이지 | 1,500원 | 등록일 2011.12.10
  • <<AVR 자동문 만들기>>AVR 자동문,자동문 소스코드,자동문 회로도,ATmega128 자동문,적외선 센서,PSD센서,ADC,모터제어
    이 문서에서는 AVR ATmega128을 이용하여 실생활에서 상용되고 있는 자동문의 기능을 구현하고 있다. ... PSD 적외선 센서로 cm 단위로 물체와의 거리를 감지하고 모터를 이용하여 도어를 개방하고 폐쇄한다. 그리고 각 기능의 동작과 원리 해석에 대해 알아보도록 하겠다.2.
    리포트 | 14페이지 | 5,000원 | 등록일 2017.04.04 | 수정일 2017.04.20
  • ATmega128모터모듈을 이용한 다중 서보모터 컨트롤러의 구매부터 구동까지
    목 표 3 ATmega128 의 회로도를 이해하여 컨트롤러를 이용하여 서보 모터 7 개를 적절히 제어하여 로봇팔로서 목적을 달성2. ... 진행 상황 4) 소스 #include iom128.h //IAR 헤더정의 #include ina90.h // 헤더정의 #define T 50 // 주기 #define CLK 16000000 ... 진행 상황 2.2)1 번 관절 모터 2 개 하드 웨어 적으로 결합 92. 진행 상황 2.3) Servo 모터 모듈 회로 결선 완성 모습 102.
    리포트 | 21페이지 | 5,000원 | 등록일 2009.11.29
  • ATmega 128을 이용한 DC모터 제어(정회전, 역회전, 정지, 브레이크, PWM)
    사용한 모터는 아래 그림과 같다.이 DC 모터는 5V 구동으로 ATmega 128로 컨트롤하였다. 8535와 128은 핀 수 외에 별 차이가 없기 때문에 이번 테스트는 ATega 128로 ... DC 모터 드라이브ATmega 128에서 신호를 보내면 모터와 컨트롤러 사이에 드라이브가 필요하게 되는데 사용하게 된 드라이브는 총 3개의 DC모터를 구동할 수 있으며 저가형으로 간단하게 ... DC 모터DC 모터는 제어가 매우 쉽고, 저 전압의 직류 전원으로 구동이 가능하며 쉽게 구할 수 있어 많은 기계장치, 전자, 전기 분야에 폭 넓게 사용되고 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.20
  • [라인트레이서]스테핑모터를 이용한 라인트레이서 보고서(ATMEGA128)
    그 값의 차이가 미미하다면 이 값이 High 인지 Low 인지 분간하기 힘들다.2.3 MAIN부분MAIN인 ATMEGA128은 납땜의 편의를 위해서 작은 보드와 칩을 사서 납땜을 하였다 ... 작은 기판에 칩을 납땜하는데 칩의 핀과 핀사이가 너무 가까워서 상당한 시간이 소요되었다.위의 회로도는 전원부분이며 레귤레이터로는 LM2575를 사용하였다.2.4 MOTOR부분스테핑모터의 ... 62.4 MOTOR부분 ??????????????????????????????????????
    리포트 | 26페이지 | 2,000원 | 등록일 2005.10.19
  • 텀프로젝트 RC카 마이크로프로세서 Atmega128
    관련 이론(4.1) 사용한 부품① ATmega128② DC 모터모터 드라이버 (L298N)④ 블루투스 모듈 (HC-05)⑤ 조이스틱(4.2) 사용한 기능① I/O PORT② Timer ... 이용하여 펌웨어 개발 후 모터 드라이버와 PWM을 활용하여 모터를 동작시킨다.- USART 통신을 이용하여 원거리에서 MCU에 명령을 내리고 조이스틱의 명령을 ATmega128에 ... 개요(2.1) 제작 동기- RC카는 모터를 상용하여 만들 수 있는 간단한 작품으로 ATmega128에 내장되어 있는 대부분의 기능을 활용하여 RC카를 제작할 수 있다.- 직접적인 코딩을
    시험자료 | 32페이지 | 10,000원 | 등록일 2023.12.15
  • 현대자동차 에너지직무 합격자소서
    또한, MFC통신 프로그램을 구현해 MCU인 Atmega128의 기구학과 동역학 계산 부담을 덜었습니다. 60도 입력 시 펜듈럼이 정확히 60도 회전하여 실제로도 잘 제어된 결과를 ... 이를 통해 DC모터 PID 제어 설계 프로젝트도 구현했습니다. ... DC모터를 수학적으로 모델링하고 Matlab과 Simulink를 활용하여 폐루프 시스템을 만들고 외란에 강건하게 제어기와 보상기를 설계했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.02.12
  • 제어계측공학과 졸업작품 『휴대폰 블루투스를 이용한 무선조종 휠체어 개발』
    ATmega1282. BLDC모터93. Bluetooth(BCD100)모듈4. Android App115. 배터리(전원부)12Ⅲ. ATmega128 기능들의 레지스터131. ... 작품선정 동기연구실활동을 하면서 DC Motor, Stepping Motor 등 그렇게 전 력도 크기도 크지 않은 모터들을 다루어 보았다. ... 인터럽트- DDRx 레지스터를 사용하여 미리 해당포트를 출력으로 설 정 필요.- ATmega128의 외부 인터럽트- 8개의 외부핀 INT7~0을 통해 입력되는 신호에 의하여 발생 되는
    논문 | 38페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판기
    결론 및 고찰주제운세 자판기동전을 투입구에 끼워넣어 레버를 돌리는 방식의 다소 뽑기형식의 자판기를 흔히 볼 수 있는데, ATmega128을 사용해 응용하면 충분히 조금 더 트랜디한 ... ATmega128을 통해 구현할 수 있는데, 여기에 제작에 필요한 센서를 select하고, 같이 활용해보면서 실력 증진의 목적과 재밌는 제작물을 만들어보기 위해 운세 자판기를 선택하게 ... “Interrupt Handler”라고도 부른다.또한 ATmega128에는 외부인터럽트 8개, 타이머 관련 인터럽트, USART관련 인터럽트, 기타 인터럽트등 총 34개의 인터럽트로
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
  • 마이크로프로세서 실험 마이크로컨트롤러 개요 및 GPIO 입출력 제어
    →AVR 입출력 포트의 특수 기능을 제어하기 위한 레지스터→SFIOR의 비트2(PUD:Pull-Up Disable)를 ‘1’로 세트하면 풀업 저항을 비활성화시킨다●ATMega128의 ... 범용 입출력 포트 : A포트→내부 풀업 저항이 있는 8비트 양방향 입출력 단자→외부메모리를 둘 경우에는 주소버스와 데이터버스로 사용●ATMega128의 범용 입출력 포트 : B포트 ... →내부 풀업 저항이 있는 8비트 양방향 입출력 단자→타이머/카운터나 SPI용 단자 혹은 PWM 단자로도 사용●ATMega128 범용 입출력 포트 : C 포트→내부 풀업 저항이 있는
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.05
  • 제어계측공학과 졸업작품 『가스밸브 자동 잠금장치』
    제어부1.1 ATmega1281.1.1 ATmega128란? ... Counter 개요- 입력으로 들어오는 펄스를 셈하는 장치- 타이머- 펄스의 소스: 마이크로컨트롤러의 크리스탈- 카운터- 펄스의 소스: 마이크로컨트롤러의 외부 핀(카운터 전용 핀)- ATmega128 ... 내부구성도1.2.4 Timer/Counter0 특징- 8비트 UP 카운터- 8개의 클럭 입력 중 선택 가능.정지, PCK0, PCK0/8, PCK0/32, PCK0/64, PCK0/128
    논문 | 30페이지 | 5,000원 | 등록일 2020.05.31 | 수정일 2020.06.08
  • DIY 인형뽑기 제작보고서(코드포함, 작동영상 보고서 내 링크)
    - ON/OFF 스위치, 레버, 푸시 스위치를 통해 기기 제어[2] AVR 보드 - ATMEGA128 사용 - PORTA 0~8번 pin을 X, Y축 이동을 위한 스탭 모터 드라이버와 ... 투하[2] 전원회로 본체 AVR보드 및 각 부속에 전원공급3-2 전체 시스템 구성 - 구성요소 : 본체, AVR보드, 전원회로 [1] 본체 - step 모터 ... 2개를 각 축 타이밍 벨트와 연동하여 X, Y 플로터 구성 - step 모터 샤프트에 낚싯줄을 연결하여 Z축 이동 구현 - 집게 내부의 서보모터 작동을 통해 집게 개폐 동작 구현
    리포트 | 21페이지 | 4,000원 | 등록일 2021.10.18 | 수정일 2021.10.21
  • 마이크로프로세서 작품(도트매트릭스를 활용한 드로잉로봇, 전자, ATMEGA128)
    작품 개요 및 동작 이번에 배우는 atmega128은 포트가 많기 때문에 다양한 기능을 활용할 예정이다. ... 작품 개요 및 동작 이번에 배우는 atmega128은 포트가 많기 때문에 다양한 기능을 활용할 예정이다. ... 스텝모터와 서브모터를 활용하여 그림의 출력 기능으로 활용하고, 도트매트릭스와 조이스틱을 이용하여 원하는 그림을 그리겠다.서브모터는 연필을 위아래로 조정하는 기능을 가지고 있고 스텝모터
    리포트 | 24페이지 | 3,000원 | 등록일 2020.04.12 | 수정일 2021.01.24
  • 제어계측공학과 졸업작품 『블루투스 원격 제어 자동차』
    ATmega128ATmega128※ 사 양* Reset Switch 사용* 외부발진회로 사용* 소프트웨어 운영체제- Compiler : WinAVR , IAR- Download Program ... 내장된 회로의 디지털 전원 입력용으로 사용되는 핀, ATmega128ATmega128_L에 따라 달리 사용b.핀 이름 : GND, 핀 번호 : (22, 53), 핀 명칭 : Circuit ... ATmega12842. UART 통신14Ⅲ. 개발 방법 (실험 방법)171. 블루투스172. DC 모터18Ⅳ. 개발 내용 (실험 결과)211. 설계내용21Ⅴ. 결론23부록24Ⅰ.
    논문 | 29페이지 | 3,000원 | 등록일 2020.05.31 | 수정일 2022.05.07
  • 마이크로프로세서_마이크로프로세서 응용 제품 구상하기
    만약에 음식을 배출해야 하는 경우에, Atmega 128 마이크로 프로세서는 서보 모터를 작동시켜서 음식을 배출한다. ... 저장이 된 환경 온도는 나중에 동물 건강 상태를 판단하는 것에 사용이 된다.Atmega 128 마이크로 프로세서를 사용한 자동먹이 시스템은 RFID 태그 판독 및 서보 모터 제어 등 ... 마이크로프로세서마이크로프로세서 응용 제품 구상하기목 차1.Atmega 128 마이크로 프로세서2.Atmega 128 마이크로 프로세서 자동 먹이주기1) 입력2) 출력3) 처리 방법3
    리포트 | 5페이지 | 2,000원 | 등록일 2023.08.02
  • [아이티뱅크] 마이크로프로세서1_Atmega128 마이크로 프로세서를 사용한 가전제품(로봇청소기, 세탁기, 자동먹이주기, 식물재배기, 화재방법장치, 주차관리기 등)
    음식을 배출해야 하는 경우, Atmega128 마이크로 프로세서는 서보 모터를 작동시켜 음식을 배출합니다.배출된 음식의 양과 시간 정보는 OLED 디스플레이에 표시됩니다.Atmega128 ... 이번 보고서에서는 Atmega128 마이크로 프로세서를 사용한 자동먹이주기 시스템에 대해 살펴보겠습니다.본론Atmega128 마이크로 프로세서를 사용한 자동먹이주기 시스템은 다음과 ... 이에 따라, Atmega128 마이크로 프로세서를 사용한 가전제품 개발은 큰 관심을 받고 있습니다.
    리포트 | 2페이지 | 3,000원 | 등록일 2023.04.21
  • 공학 졸업논문입니다
    분석 방법3.1.1 SRF04 초음파 센서3.1.2 SRF04 동작 원리3.2.1 ATmega1283.2.2 ATmega128의 핀 구성4. ... 5[그림 4] ATmega128 외부 핀 구조????????????????????????7[그림 5] 설계도 구성도????????????????????????????? ... 이때 ATmega128의 타임머 인터럽트를 사용하여 ECHO 펄스의 크기를 카운트하면 된다. 그리고 시간을 거리 로 환산하여 중앙처리장치로 전달하면 된다.
    리포트 | 14페이지 | 2,500원 | 등록일 2022.02.16
  • 마이크로프로세스 동작제어 소스 - 모터동작제어
    #include float D=0.1;int msec=0;void main(void){ DDRD=0x00; DDRE=0x03; TCCR0=0x04; TIMSK=0x03; SREG=0x80; TCNT0=0x06; while(1) ..
    리포트 | 2페이지 | 1,500원 | 등록일 2020.03.16
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 05일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:12 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기