• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,812)
  • 리포트(1,677)
  • 시험자료(103)
  • ppt테마(10)
  • 자기소개서(8)
  • 논문(6)
  • 방송통신대(6)
  • 서식(1)
  • 이력서(1)

바로가기

BCD 독후감 - BCD 관련 독후감 3건 제공

"BCD" 검색결과 1-20 / 1,812건

  • BCD adder
    BCD adder (1)BCD(Binary-Coded-Decimal).10진수를 8-4-2-1의 자리 값을 가진 2진수로 표현BCD adder.BCD로 표현 된 2진 가산기.2진 가산만을 ... 진행할 경우 두 수의 합이 9를 초과하면 BCD sum ≠ Binary Sum⇒9를 초과하는 경우 6을 더해서 해결BCD adder (2)
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.16
  • BCD가산기 verilog 설계
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습결과Verilog설계- BCD 가산기의 Verilog ... 제목BCD 가산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 코드 기술BCD_ADDERtb_BCD_ADDERmodule BCD_ADDER(A,B,C,RESULT);input [3:0] A;input [3:0] B;output C;output
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 논리회로설계실험 BCD가산기 레포트
    이용해 segment 각각에 값을 넣는다.4) main문에서 BCD 가산기와 BCD to 7-segment를 불러온다.5) Simulation을 이용해 BCD 가산기를 검증한다.4 ... 그 결과 입력된 두 수를 더해줄 BCD Adder 코드, BCD를 7 segment로 나타낼 7 segment 디코더, 그리고 BCD Adder의 계산 결과를 7 segment로 전해줄 ... (아래 표에 한 자리 10진수에 대한 BCD 표기가 나타나있다.) 10진수를 나타낼 때 각 자리가 8-4-2-1을 나타내므로 더 명백히는 8-4-2-1 BCD라고 불린다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • BCD 가산기 설계 결과보고서
    그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 BCD를 출력하는 BCD가산기를 설계하라. ... 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습하였다. ... BCD가산기에서 두 입력이 다음과 같을 때, 16진수 중간 덧셈 결과와 중간 결과가 BCD로 변환된 값을 시뮬레이션으로 나타내라.ab중간 덧셈 결과(HEX)BCD“0111”“0111
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 코드 해석, 병렬 패리티, ASCII코드, BCD코드
    수신된 단어를 BCD코드로 변환한다.수신 단어 : CONSULTChar존 비트디지트 비트C110011O100110N100101S010010U010100L100011T010011표.72 ... 4@ABCDEFGHIJKLMNO5PQRSTUVWXYZ[]^_6`abcdefghijkㅣmno7pqrstuvwxyz{|}~표.63) 수신된 단어를 BCD코드로 변환하고 병렬 패리티를 적용한 ... 표준 BCD 코드표문자CZZ8421문자CZZ8421문자CZZ8421문자CZZ8421문자CZZ8421A0110001J1100001S101001010000001=0001011B0110010K1100010T001001120000010
    리포트 | 10페이지 | 1,000원 | 등록일 2023.04.23
  • 베릴로그 1-digit BCD counter 설계
    가진 1-digit BCD Counter 코드를 아래와 같이 설계함.1-digit BCD Counter 소스코드inc연산일 땐 Q가 9일땐 Q를 0으로 설정하고 아니라면 Q값을 하나 ... 디지털시스템설계 #5 Report2018. 6. 6 제출실험목적위 그림과 같은 입, 출력값을 가지는 2-digit BCD counter를 설계하는 것이 이번 실험내용.먼저 위의 블록도를 ... // Design : bcd// Author :// Company :////-----------------------------------------------------------
    리포트 | 21페이지 | 2,500원 | 등록일 2021.04.09
  • BCD code, 세븐 세그먼트에 대한 이론 및 회로
    실험 제목BCD code, 세븐 세그먼트에 대한 이론 및 회로2. ... BCD코드에서는 10진수의 한자리 수인 0~9까지만을 숫자로 표현하고 그 이상의 숫자에서 대해서는 don’t care한다.BCD코드의 덧셈을 하려면①2진수의 덧셈의 규칙에 따라 두 ... 하지만 BCD 디코더를 사용한다면 4개의 GPIO로 만으로도 7세그먼트를 동작 시킬 수 있다.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    로터리 스위치7 세그먼트 장치74LS47 ICBCD코드 로터리 스위치 : 스위치를 통해 표시된 숫자에 해당하는 BCD코드를 발생시킨다. ... 메카트로닉스 및 실습실습보고서[BCD 스위치의 값을 7-세그먼트에 표기하기]■과목명:메카트로닉스 및 실습■담당교수:■제출일:■학과:■학번:■성명:1.실험 개요- 실험 목적7-segments를 ... 문자를 나타낼 수 있는 표시장치로 FND라고도 불립니다.8개의 LED로 구성되어 있으며 각각의 LED는 a,b,c,d,e,f,g,dp로 명시되어 있습니다.- 실습에 사용된 핵심 부품BCD코드
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다. ... 따라서 그 사이에 통역을 위한 코드가 필요한데, 이를 바로 이진화 십진코드(BCD, Binary-coded decimal)라고 부른다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 십진 계수기 (BCD counter) 결과보고서 A+ 레포트
    ∘ 오차 및 토의- 이번 실험에서 10진 계수기의 작동 원리를 알아보고 그 특성을 살펴보았다. 계수기에서 1001에서 1010으로 넘어갈 때 NAND gate에 입력 신호가 0, 0이 들어가므로 1이 출력된다. 따라서 CLEAR에 신호가 들어가고 계수기는 0000이 출..
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 실험7 십진 계수기 (BCD counter) 결과보고서 A+ 레포트
    이번 실험에서는 BCD(Binary-Coded-Decimal) 계수기의 작동 원리를 알아보고 그 특성을 살펴보는 것이 실험 목 적이었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    BCD 가산법(BCD adder)? ... 이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는명령을 갖추고 있다.BCD 덧셈은 BCD 수를 4비트씩 묶어서 2진 덧셈 규칙처럼 더한다. ... 전자계산기 구조과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정1.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... 출처1) BCD & BCD adderhttp://www.electrical4u.com/bcd-or-binary-coded-decimal-bcd-conversion-addition-subtraction ... 하지만 BCD의 단점은 컴퓨터가 기본적인 연산을 하기 위해서 회로가 좀 더 복잡해진다는 것과 데이터들을 저장할 공간이 더 필요하다는 것이다.한자리 수의 BCD표10진수BCD842**
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    4bit 기준으로 K MAP을 이용해서 식을 도출해내자K-MAPOUTPUT=> 4bit converter(bcd to excess 3) module=> 8bit로 확장=> verilog ... .)=> UCF(PIN 설정)● 아래 표를 참조하여 BCD to Excess-3 Code Convertor를 설계하시오.입력 A : BUS Switch출력 Q : LED 1~8배경설명아래보는거처럼
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. ... (Sum[3], Sum[2], Sum[1], Sum[0],//12//P9, P8, P7, P6, P5, P4, P3, P2, P1, P0);//13// BCD_to_7segment ... first(P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1);//14// BCD_to_7segment second(P7, P6, P5, P4, a2,
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    BCD Counter2. Gray Code Counter3. 10/16 Dual Counter4. Crosswalk Controller 횡단보도 제어기5. ... 목 차번호내 용쪽 수1State Machine(상태 머신) 개요12case 구문을 사용한 BCD Counter 만들기23case 구문을 사용한 GRAY Code Counter 만들기34case ... : 시뮬레이션 옵션이 반드시 쿼터스2 시뮬레이션으로 선택할 것.Case를 사용한 BCD_counter의 RTL VIEWER3. case 구문을 사용한 GRAY Code Counter
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • BCD가산기 설계
    일 자2016.05.15조10조학 번200911758이 름조성문제 목BCD 가산기의 설계□ 실습 내용입력된 값들을 정렬하여 정렬된 순서를 출력으로 나타낸다.□ VHDL 코드package ... library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use work.my_package.all;entity bcd ... b : in adder_value;s : out integer range 0 to 31;seg1, seg2 : out std_logic_vector(6 downto 0));end bcd
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • excess3 to bcd
    리포트 | 1페이지 | 2,000원 | 등록일 2019.03.05 | 수정일 2019.03.07
  • 베릴로그 BCD업,다운카운터
    1-digit BCD Counter 소스코드inc연산일 땐 Q가 9일땐 Q를 0으로 설정하고 아니라면 Q값을 하나 증가시켜줌.dec연산일 땐 Q가 0일땐 Q를 9로 설정하고, 아니라면
    리포트 | 14페이지 | 1,000원 | 등록일 2018.09.09
  • 설계과제1 BCD 가산기
    고찰(1) 조원1의 고찰설계할 BCD 가산기는 입력 8비트 BCD 이진수를 가산하는 BCD Adder와 가산한 결과값인 12비트 BCD 이진수를 다시 3자리의 10진수로 변환하여 출력하는 ... 이를 바탕으로 8비트 BCD 형태의 2진수를 연산하여 12비트의 BCD 2진수로 표현된 세 자리 10진수를 출력하는 BCD 가산기를 설계한 후 이를 7 segment 장치에 표시 할 ... 표현에서 사용되지 않는다.BCD Adder는 BCD 형태로 표현된 두 이진수를 입력받아 덧셈 연산을 수행 후 그 결과값을 똑같은 BCD 이진수 형태로 출력하는 논리회로이다.
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대