• 통큰쿠폰이벤트-통합
  • 통합검색(274)
  • 리포트(261)
  • 시험자료(12)
  • 논문(1)

"BCD Code" 검색결과 1-20 / 274건

  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    전자계산기 구조과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정1. ... BCD코드 정의이진화 십진법BCD(Binary-Coded-Decimal code)은 이진수 네자리를 묶어 십진수 한자리로 사용하는 기수법이다.이진수 네자리가 십진수 한자리에 바로 대응되기 ... BCD(Binary-Coded-Decimal code) 구현 방법BCD 코드는 10진수의 각 비트를 4비트의 2진수로 나타낸다.1010, 1011, 1100, 1101, 1110과
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • BCD to Excess-3 Code Conveter
    BCD to Excess-3 Code ConveterIntroductionVHDL code를 이해하고 BCD to Excess3 code converter logic을 VHDL code화 ... 그리하여 나온 출력 값을 아래와 같다.< Assignment 1 CODE>entity bcd2excess3 isPort ( bcd : in STD_LOGIC_VECTOR (3 downto ... PORT MAP(bcd => bcd,excess3 => excess3);tb : PROCESSBEGINwait for 100 ns;bcd
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • BCD to EX3 Code Converter
    BCD to EX3 Code Converter1. Specification10진 디지털에 대한 Excess 3 code는 10진 디지트에 플러스 3을 해주는 코드이다. ... 이러한 BCD to EX-3 Code Converter를 2 input NAND Gate, 3 input NAND Gate, 2 input NOR Gate, Inverter 를 사용하여
    리포트 | 8페이지 | 5,000원 | 등록일 2009.05.27
  • BCD to EXCESS-3 CODE CONVERTER
    첫번째 과제에서는 BCD코드를 입력하면 설정된 EXCESS-3 코드가 출력되도록 하며 두번째 과제에서는 클럭값을 넣고 밀리형식을 따라 EXCESS-3코드의 값을 받아온다. ... http://www.eetkorea.com/SEARCH/ART/FPGA.HTM" FPGA 에디션 2.0'NVIDIA8800GT와 연동되어사용되고 있는 Xilinx-ML555실험목적BCD코드를 ... : in STD_LOGIC_VECTOR (3 downto 0);//BCD코드를 받아들이는 포트설정EXCESS3 : out STD_LOGIC_VECTOR (3 downto 0));/
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    ---디지털 회로 리포트---(1) Gray Code그레이 코드는 현 상태에서 다음 상태로 코드의 그룹들이 변화할 때 단지 하나의 비트만이 변화되는 최소변화코드(minimum-change ... 엑세스-3 코드10진수BCD엑세스-3000000011100010100200100101300110110401000111501011000601101001701111010810001011910011100엑세스
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다. ... 이것으로 BCD to Excess-3 Code Converter가 제대로 작동하고 있다는 것을 알 수 있다.2) Excess-3 Serial Code Converter Behavioral ... 전자전기컴퓨터설계실험IIIPOSTLAB REPORT[BCD to Excess-3 Code Converter]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    BCD to Excess-3 Code Converter(1) BCD to Excess-3 Code 변환기란?10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[BCD to Excess-3 Code Converter]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" ... is8: begin9: process (bcd)10: begin11: case (bcd) is12: when “Z
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • BCD code, 세븐 세그먼트에 대한 이론 및 회로
    관련 이론(1) BCD codeBCD는 Binary Coded Decimal의 약자로서 이진코드의 십진화를 의미한다. ... 실험 제목BCD code, 세븐 세그먼트에 대한 이론 및 회로2. ... BCD코드에서는 10진수의 한자리 수인 0~9까지만을 숫자로 표현하고 그 이상의 숫자에서 대해서는 don’t care한다.BCD코드의 덧셈을 하려면①2진수의 덧셈의 규칙에 따라 두
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • fpga bcdconverter
    Code가 어떤 동작을 하는지? ... 시작하기 전 작성한 Block Diagram7개의 모듈로 bcd output을 찾을수 있다.- 작성한 Verilog Module에 대한 설명Binary to bcd converter ... 이 bcd converter는 add-3module로 표현가능한데 총 7개의 add3module로 bcd결과값을 얻어 각 자리수를 찾을 수 있다.Add3module에서의 진리표- 작성한
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.05
  • BCD adder
    BCD adder (1)BCD(Binary-Coded-Decimal).10진수를 8-4-2-1의 자리 값을 가진 2진수로 표현BCD adder.BCD로 표현 된 2진 가산기.2진 가산만을 ... 진행할 경우 두 수의 합이 9를 초과하면 BCD sum ≠ Binary Sum⇒9를 초과하는 경우 6을 더해서 해결BCD adder (2)
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.16
  • 실험7 십진 계수기 (BCD counter) 결과보고서 A+ 레포트
    이번 실험에서는 BCD(Binary-Coded-Decimal) 계수기의 작동 원리를 알아보고 그 특성을 살펴보는 것이 실험 목 적이었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 전기및디지털회로실험 실험7 예비보고서
    Standard Code for Information Interchange), 또는 줄여서 ASCII( /ˈæski/, 아스키)는 영문 알파벳을 사용하는 대표적인 문자 인코딩이다. ... 또한 프로그래밍 언어를 이용하여 컴퓨터가 이해할 수 있는 형태로 작성한 명령문인 프로그램 소스코드(Source Code)도 코드의 한 유형이다. https://terms.naver.com ... 있으면 그 자체가 BCD값이 된다.둘째, 각 자릿수의 4비트가 10개의 BCD 수에 포함되어 있지 않거나 자리올림이 발생하면 그 값에 6(0110)을 더해 주어야만 BCD값이 된다
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • 시립대 전전설2 Velilog 결과리포트 5주차
    Code (8421 코드)BCD = Binary-Coded Decimal 로써 2진 부호화 10진법을 의미한다.이 방법은 4비트의 2진수를 이용하여 1자리의 10진수를 표현한 코드 ... 마지막으로 응용과제인 BCD to Excess - 3 Code Convertor를 분석해보자. ... 표현 방법 >5) Excess-3 Code ( 3초과코드 )부호화 10진법 (수 표시법의 일종으로, 10진수를 부호화하여 표시하는 것.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    목표-조건에 맞는 Traffic lights controller를 설계하고 VHDL을 이용하여 구현할 수 있다.1) Code analysis■ 10Hz가 너무 느려서 교수님께서 말씀하신 ... forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기 위하여 signal을 선언하였습니다.--1K Hz의 Clock을 분주하여서 10Hz로 사용하였습니다. ... entity와내부의 프로그램을 구현함에 있어서 필요한 signal을 정의하였습니다.--7 segment display signals--For 7 segment transform BCD
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 아주대 논리회로실험 실험8 Counter 예비보고서
    2진수로 변환한 코드를 BCD(Binary-Coded Decimal)코드라고 한다. ... Counter라고하며, BCD Code를 Decoding한 결과는 7 Segment를 통해 쉽게 확인할 수 있다.4. ... 실험8 예비보고서IEEE Code of Ethics(출처: http://www.ieee.org)We, the members of the IEEE, in recognition of the
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    BCD Counter2. Gray Code Counter3. 10/16 Dual Counter4. Crosswalk Controller 횡단보도 제어기5. ... 목 차번호내 용쪽 수1State Machine(상태 머신) 개요12case 구문을 사용한 BCD Counter 만들기23case 구문을 사용한 GRAY Code Counter 만들기34case ... : 시뮬레이션 옵션이 반드시 쿼터스2 시뮬레이션으로 선택할 것.Case를 사용한 BCD_counter의 RTL VIEWER3. case 구문을 사용한 GRAY Code Counter
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    BCD 코드(Binary Coded Decimal Code)를 10진수로 변환하여 7-segment에 표시해주는 7447 디코더의 동작을 살펴본다.? ... 7447 디코더- 7447 디코더는 BCD 코드를 10진수로 바꾸어 7-segment에 표시해 준다. ... 아래의 사진은 7447 디코더의 핀 다이어그램으로, 1, 2, 6, 7번 핀은 BCD 코드 입력 단자이고, 9 ~ 15번 핀은 출력 단자이다.- 출력 단자는 7-segment의 7개의
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 기초전자회로실험 예비보고서 - 인코더, 디코더와 7-Segment Display
    이제 우리는 이 십진수 각 자리의 수를 그에 맞는 4비트의 이진수로 변환을 하는데 이를 이진화 십진 코드(Binary Coded Decimal Code,BCD)라고 한다이제 BCD를 ... BCD-십진 복호기가 있다.BCD인코더와 디코더십진BCD부호기 (Decimal to BCD Encoder)이진수는 0과 1이라는 2개의 숫자로만 이루어진 수인데 회로에서는 오직 신호의 ... 구성한 회로의 3-Bit 디코더 역할 수행 여부를 확인한다4.3 BCD 인코더와 디코더4.3.1) 설계문제1 : BCD 인코더AND, OR 게이트 등을 이용하여 BCD 인코더 회로를
    리포트 | 9페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    BCD 코드(Binary Coded Decimal Code)를 10진수로 변환하여 7-segment에 표시해주는 7447 디코더의 동작을 살펴본다.? ... 7447 디코더- 7447 디코더는 BCD 코드를 10진수로 바꾸어 7-segment에 표시해 준다. ... 아래의 사진은 7447 디코더의 핀 다이어그램으로, 1, 2, 6, 7번 핀은 BCD 코드 입력 단자이고, 9 ~ 15번 핀은 출력 단자이다.- 출력 단자는 7-segment의 7개의
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    =>SIMULATION(alway문 사이에서 300초 단위로 반복됨을 알 수 있다.)=> UCF(PIN 설정)● 아래 표를 참조하여 BCD to Excess-3 Code Convertor를 ... A : BUS Switch출력 Q : LED 1~8배경설명아래보는거처럼 4bit 기준으로 K MAP을 이용해서 식을 도출해내자K-MAPOUTPUT=> 4bit converter(bcd ... MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch 1, 2출력 Q : LED 1=> MODULE=> VERILOG CODE
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대