• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,318)
  • 리포트(1,239)
  • 시험자료(69)
  • 논문(6)
  • 방송통신대(2)
  • 서식(1)
  • 자기소개서(1)

"BCD-7" 검색결과 1-20 / 1,318건

  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. ... (Sum[3], Sum[2], Sum[1], Sum[0],//12//P9, P8, P7, P6, P5, P4, P3, P2, P1, P0);//13// BCD_to_7segment ... first(P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1);//14// BCD_to_7segment second(P7, P6, P5, P4, a2,
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 논리회로실험레포트) BCD 수체계, 7-세그먼트
    LED 4개2. 7447A BCD/10진 디코더3. MAN72 7-세그먼트 디스플레이4. 4조 DIP 스위치5. ... BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성.3. 모의실험용으로 결함을 만들어 놓은 회로의 고장 진단.사용 부품1. ... 회로 구성 후 BCD 스위치 조합에 의한 7-세그먼트 디스플레이 확인.0000(0)0001(1)0010(2)0011(3)0100(4)0101(5)0110(6)01111(7)1000(
    리포트 | 10페이지 | 2,000원 | 등록일 2015.10.19
  • 디지털 시스템 설계 - BCD to 7 Segment (verilog로 구현)
    [Report]디지털 시스템 설계- BCD to 7 Segment -BCD_in = 4'b1111로 바꾸어 default 값 00000001이 나오는지 확인하였습니다.
    리포트 | 3페이지 | 1,500원 | 등록일 2014.11.21 | 수정일 2015.10.21
  • 디지털 시스템 실험 기본적인Combinational Circuit(Decoder, Binary-to-BCD Convertor, BCD-to-7 segment Decoder) 결과보고서
    설계, BCD-to-7 segment Decoder 설계)실험목표2-to-4, 3-to-8 라인 디코더를 설계한다.Binary-to-BCD Convertor 설계한다.BCD 입력을 ... 디지털 시스템 설계 및 실험 결과 보고서작성자:학번:실험조:실험일:실험제목기본적인Combinational Circuit(Decoder 설계, Binary-to-BCD Convertor ... 7 segment로 출력하는 decoder를 설계한다.실험결과토의2to4 decoder 는 입력이 2개 출력이 4개이므로, 각각에 해당하는 변수를 정의한다. decoder에서 result1
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • BCD-7세그먼트 디코더 논리회로 설계보고서
    설계 제목 - BCD-7세그먼트 디코더 논리회로 ? ... 설계 목표-7세그먼트에 표시기라는 소자 및 BCD 코드에 대한 이해- 이론을 바탕으로 BCD-7세그먼트 논리회로를 구성배경이론7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 ... BCD 코드는 그림 5-4에 나타낸 것과 같이 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • BCD-7세그먼트 디코더 논리회로 설계결과보고서
    0~9까지의 ABCD의 입력으로 출력 LED의 abcdefg가 나오는 진리표를 나타낸 것이다.비고 및 고찰이번 설계는 4장에서 공부한 BCD7 segment를 직접 게이트들을 사용하여 ... 진리표입력(BCD)출력(Display)ABCDabcdefg*************0101100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011십진수 ... 아래는 실험하면서찍은 사진이다.위의 3개의 사진의 경우 우리 2조의 결과물로써, 7개의 신호 중에서 5개의 신호밖에 못살렸기 때문에 그 5개의 신호로 낼수 있는 1과 7을 만들어 본
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산기
    전압을 주면 빨간불이 들어왔으며 V의 값에 따라 7-세그먼트의 숫자 값이 달라졌습니다. ... 진행 상황 : 먼저 3+2를 74283, 7408(IC7), 7432(IC8), 7447 소자를 사용하여 7-세그먼트로 구현하려 했지만 문제점을 찾지 못하였습니다. ... bar { g}00000*************001111ABsum _{`} ^{`} 4~sum _{`} ^{`} 1C_{ 0}① 0101(5)0011(3)1001(9)0② 0111(7)
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • BCD 7-Segment Decoder 설계제안서
    명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7-Segment 소자라고 이름이 붙여졌다.7-Segment는 2가지의 Type(
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • 디지털실험 설계- BCD 7 세그먼트 설계보고서
    BCD-7 세그먼트 디코더-논리회로 설계결과-2조 2008065321권태영1. ... 실험 결과 사진숫자 0 결과숫자 1 결과숫자 2 결과숫자 3 결과숫자 4 결과숫자 5 결과숫자 6 결과숫자 7 결과0부터 9까지의 BCD-7 세그먼트 결과 사진들숫자 8 결과숫자 9 ... 결과☞ 비고 및 고찰이번 실험은 BCD-7 세그먼트 디코더가 실제로 어떻게 표현되는지 TTL 칩들을 이용하여 회로를 직접 짜서 확인하는 시간이었다.실험 전에 각 진리표를 만들고 이를
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    설계과정BCD TO 7-SEGMENT DECODER의 설계 과정은 다음과 같다.(1) BCD TO 7-Segment Decoder의 회로를 구성한다.(2) 구성된 회로를 PADS LOGIC에 ... 명제▶ BCD TO 7-SEGMENT DECODER를 설계하고 설계된 LOGIC을 실제로 구동하여 2진 입력을 받았을 경우 구상한 진리표대로 작동하는지 확인한다. ... 설계 결과 보고서BCD TO 7-SEGMENT DECODER 설계Group:Subject:Professor:Major:Student Number:Name:Due Date:목 차1.명제12
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • 7-Segment Decoder using Decimal to BCD Converter
    7-Segment Decoder using Decimal to BCD ConverterDecimal to BCD Converter를 Codingmodule Deci_to_BCD(X, ... ],In[0]);endmodule7-Segment Decoder를 Codingmodule Deci_to_Seven(X,S,COM);input [9:0]X;output [6:0]S;wire ... [3:0]In;wire [9:0]K;output [7:0]COM;assign COM=8'b11111110;Deci_to_BCD K1(.X(X),.In(In)); //Deci_to_BCD에서
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
  • [Flowrian] BCD to 7-Segment Decoder (TTL 7448)의 Verilog 설계 및 시뮬레이션 검증
    TTL 7448 회로는 4 비트의 BCD 값을 받아 7 세그멘트 (Segment) LED를 구동하는 신호로 변환하는 디코더 회로이다. ... 수준의 코드에서 자동으로 생성될 수 있고, Verilog 언어로 설계를 배우는 독자에게는 RTL 수준의 코드가 보다 교육적이기 때문이다.TTL 7447 회로와 논리적 동작은 동일하나 7
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.07
  • [Flowrian] BCD to 7-Segment Decoder/Driver (TTL 7447)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7447 회로는 4 비트의 BCD 값을 받아 7 세그멘트 (segment) LED를 구동하는 신호로 변환하는 디코더 회로이다.- TTL 7447 회로에 대한 문서에는 게이트들로 ... _TTL 7447 회로에서 BCD 값을 나타내는 4 비트 입력단자 {D. C. B. A}는 단자 D 가 MSB 이고, A 가 LSB이며, Active High로 동작한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.07
  • 7447과 7SEGMENT실험보고서(7447과 7SEGMENT 이용한 BCD->7SEGMENT 코드 숫자점등)
    실험 3 보고서데이터 및 관찰 내용 : 7447과 7SEGMENT 이용한 BCD->7SEGMENT 코드 숫자점등표 3-1입력출력2진수BCD 수7-세그먼트디스플레이00********* ... 이는 3개씩 짝지어진 BCD코드에서 최대 표현 가능 수는 7이기 때문에 그 이상의 자리 올림 수를 첫 번 째 7SEGMENT에 표시하기 위하여 A입력단은 BCD코드의 4번째 자리에 ... DECODER IC로써 입력된 BCD코드에 따른 Common Anode 타입의 7SEGMENT 코드를 발생시킨다.또한 7447의 4, 5번 핀 RBO, RBI를 이용하여 앞자리의 0의
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.20
  • [디지털공학] BCD ADDER 7-SEGMENT
    BCD ADDER를 7-SEGMENT를 이용해 표현하는 VHDL 소스입니다.총 3개의 소스를 하나의 TOP소스로 묶어 표현하는 형식입니다.에러가 뜨는 경우는 VHDL의 설정 변경에
    리포트 | 4페이지 | 1,000원 | 등록일 2003.06.03
  • [논리회로] BCD 7 - 세그먼트 디코더
    {BCD 7 - 세그먼트 디코더. 목 적. 7-세그먼트의 구조와 동작특성을 익히고, 7-세그먼트 디코더를 PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행한다.. ... `t Care그림 16.2 BCD 7-세그먼트 디코더의 타이밍도{`ABCDabcdefg{`ABCDabcdefg ... {그림 16.1 7-세그먼트의 디스플레이와 10진 디지트 표현표 16.1 7-세그먼트 디스플레이의 진리표{afbgecd{0{1{2{3{4{5{6{7{8{9{10진 디지트BCDABCD출력
    리포트 | 2페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • [회로이론] BCD to 7-세그먼트 디코더
    결과로는 7-segment가 나와야하므로 y라는 출력을 6 downto 0의 벡터로 사용하였다. ... 결과 & 검토지난 시간의 디코더에 이어서 이번시간에는 7-segment Decoder를 실습 으로 했다. ... Code● If문 사용{● Care문 사용{● Coding 내용 분석BCD to 7 segment Decoder를 if문과 care문을 사용하여 coding을 해 보았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2003.04.10
  • [논리회로설계] BCD-to-7세그먼트 설계
    BCD-to-7세그먼트 설계하기1) Dual 4-Bit Up Counter(74393)와 BCD-to-7세그먼트 디코더(74248), 논리 게이트로 만든 BCD-to-7세그먼트 ... -7세그먼트 설계도(캐소드 공통형 7세그먼트 표시기를 위한 74248 디코더 사용)※ BCD-to-7세그먼트 설계도(논리 게이트로 설계한 디코더 사용)(뒷장과 연결됨)※ Clock펄스의 ... 신호를 지연시키는 counter(Clock 입력시간 : 0.67초)를 이용한 BCD-to-7세그먼트 설계도
    리포트 | 7페이지 | 1,000원 | 등록일 2003.12.12
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... BCD (binary-coded decimal) 코드10진수의 각 자리를 4bit의 2진수로 표시하는 코드이다. 0~9에 대응하는 BCD 코드를 [그림 9]에 나타내었다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가 ... 출처1) BCD & BCD adderhttp://www.electrical4u.com/bcd-or-binary-coded-decimal-bcd-conversion-addition-subtraction ... 설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:00 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대